langage VHDL
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

langage VHDL



  1. #1
    invitedcb8d9bb

    langage VHDL


    ------

    bonjour,
    que signifie les termes "comport" et "struct" en VHDL et dans quel cas les utilise-t-on ?
    merci.

    -----

  2. #2
    inviteacb3e291

    Re : langage VHDL

    Salut
    tu peut nous montrer dans quel contexte?
    merci.

  3. #3
    invitea8dd0088

    Re : langage VHDL

    programme en vhdl pour realiser un automate qui reconnait sur les coups d horloge successifs la sequence d entree 1) 1-1-1-1-1-1-1-1
    2) 0-0-0-0-0-0-0-0
    ensuite integrer les 2 automates pour realiser un systeme de detection des fanions debut et fin lors d une transmission de donnees

Discussions similaires

  1. langage vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 1
    Dernier message: 15/12/2007, 08h51
  2. vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 12
    Dernier message: 09/12/2007, 15h29
  3. vhdl
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 5
    Dernier message: 29/03/2007, 20h52
  4. vhdl
    Par invite4add737e dans le forum Électronique
    Réponses: 0
    Dernier message: 17/11/2006, 00h41
Découvrez nos comparatifs produits sur l'informatique et les technologies.