Model { Name "PARK" Version 7.7 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.22" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1252" slprops.hdlmdlprops { $PropName "HDLParams" $ObjectID 1 Array { Type "Cell" Dimension 2 Cell "HDLSubsystem" Cell "PARK" PropName "mdlProps" } } SaveDefaultBlockParams on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" MaxMDLFileLineLength 120 Created "Tue Mar 04 20:07:29 2014" Creator "acer" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "acer" ModifiedDateFormat "%" LastModifiedDate "Tue Mar 04 21:53:04 2014" RTWModifiedTimeStamp 315865812 ModelVersionFormat "1.%" ConfigurationManager "None" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off SimulationMode "normal" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 2 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "PARK" overrideMode_ [0.0] Array { Type "Cell" Dimension 1 Cell "PARK" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell [] PropName "logAsSpecifiedByModelsSSIDs_" } } RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" CovExternalEMLEnable off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 3 Version "1.11.0" Array { Type "Handle" Dimension 9 Simulink.SolverCC { $ObjectID 4 Version "1.11.0" StartTime "0.0" StopTime "1.2" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-4" SolverMode "Auto" ConcurrentTasks off Solver "ode45" SolverName "ode45" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 5 Version "1.11.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" } Simulink.OptimizationCC { $ObjectID 6 Version "1.11.0" Array { Type "Cell" Dimension 8 Cell "BooleansAsBitfields" Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" Cell "UseSpecifiedMinMax" PropName "DisabledProps" } BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off UseIntDivNetSlope off UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off StrengthReduction off ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" ExpressionDepthLimit 2147483647 FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero off NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on OptimizeModelRefInitCode off LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off AccelParallelForEachSubsystem on } Simulink.DebuggingCC { $ObjectID 7 Version "1.11.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "warning" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "ErrorLevel1" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" LoggingUnavailableSignals "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" } Simulink.HardwareCC { $ObjectID 8 Version "1.11.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 9 Version "1.11.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 10 Version "1.11.0" SFSimEnableDebug on SFSimOverflowDetection on SFSimEcho on SimBlas on SimCtrlC on SimExtrinsic on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 11 Version "1.11.0" Array { Type "Cell" Dimension 8 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" Cell "GenerateSLWebview" Cell "GenerateCodeMetricsReport" PropName "DisabledProps" } SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off RTWUseLocalCustomCode off RTWUseSimCustomCode off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateCodeInfo off GenerateSLWebview off GenerateCodeMetricsReport off RTWCompilerOptimization "Off" CheckMdlBeforeBuild "Off" CustomRebuildMode "OnUpdate" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 12 Version "1.11.0" Array { Type "Cell" Dimension 21 Cell "IgnoreCustomStorageClasses" Cell "IgnoreTestpoints" Cell "InsertBlockDesc" Cell "InsertPolySpaceComments" Cell "SFDataObjDesc" Cell "MATLABFcnDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "ReqsInCode" PropName "DisabledProps" } ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 13 Version "1.11.0" Array { Type "Cell" Dimension 16 Cell "GeneratePreprocessorConditionals" Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "CPPClassGenCompliant" Cell "MultiInstanceERTCode" Cell "PurelyIntegerCode" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "PortableWordSizes" PropName "DisabledProps" } TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" TargetFunctionLibrary "ANSI_C" UtilityFuncGeneration "Auto" ERTMultiwordTypeDef "System defined" FunctionExecutionProfile off CodeExecutionProfiling off ERTCodeCoverageTool "None" ERTMultiwordLength 256 MultiwordLength 2048 GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns off CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on SupportVariableSizeSignals off EnableShiftOperators on ParenthesesLevel "Nominal" PortableWordSizes off ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant off AutosarCompliant off UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off } PropName "Components" } } hdlcoderui.hdlcc { $ObjectID 14 Version "1.11.0" Description "HDL Coder custom configuration component" Name "HDL Coder" Array { Type "Cell" Dimension 1 Cell "" PropName "HDLConfigFile" } HDLCActiveTab "0" } PropName "Components" } Name "Configuration" CurrentDlgPage "Solver" ConfigPrmDlgPosition [ 243, 69, 1123, 699 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 3 } BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Arial" FontSize 12 FontWeight "bold" FontAngle "normal" ShowName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Arial" FontSize 12 FontWeight "bold" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Arial" FontSize 12 FontWeight "bold" FontAngle "normal" } BlockParameterDefaults { Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" LockScale off SampleTime "inf" FramePeriod "inf" PreserveConstantTs off } Block { BlockType Fcn Expr "sin(u[1])" SampleTime "-1" } Block { BlockType Inport Port "1" OutputFunctionCall off OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchInputForFeedbackSignals off Interpolate on } Block { BlockType Mux Inputs "4" DisplayOption "none" UseBusObject off BusObject "BusObject" NonVirtualBus off } Block { BlockType Outport Port "1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" SourceOfInitialOutputValue "Dialog" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Scope ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "-1" } Block { BlockType Sin SineType "Time based" TimeSource "Use simulation time" Amplitude "1" Bias "0" Frequency "1" Phase "0" Samples "10" Offset "0" SampleTime "-1" VectorParams1D on } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off CheckFcnCallInpInsideContextMsg off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" Variant off GeneratePreprocessorConditionals off } Block { BlockType Terminator } } System { Name "PARK" Location [2, 82, 1348, 715] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" SIDHighWatermark "95" Block { BlockType Scope Name "Scope" SID "60" Ports [1] Position [460, 174, 490, 206] Floating off Location [1, 48, 1367, 727] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope1" SID "61" Ports [1] Position [460, 264, 490, 296] Floating off Location [1, 48, 1367, 727] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } SaveName "ScopeData1" DataFormat "StructureWithTime" SampleTime "0" } Block { BlockType Scope Name "Scope2" SID "78" Ports [1] Position [1065, 184, 1095, 216] Floating off Location [5, 48, 1371, 727] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } SaveName "ScopeData2" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType SubSystem Name "Subsystem" SID "27" Ports [4, 2] Position [215, 141, 375, 324] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskDisplay "disp ('PARK ABC-dq');" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" System { Name "Subsystem" Location [2, 82, 1348, 715] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "u(1)" SID "28" Position [25, 63, 55, 77] IconDisplay "Port number" } Block { BlockType Inport Name "u(2)" SID "30" Position [25, 138, 55, 152] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "u(3)" SID "32" Position [25, 213, 55, 227] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "u(4)" SID "33" Position [25, 288, 55, 302] Port "4" IconDisplay "Port number" } Block { BlockType Fcn Name "Fcn" SID "4" Position [270, 48, 760, 102] Expr "2/3*(cos(u(4)*u(1))+cos((u(4)-2*pi/3)*u(2))+cos((u(4)+2*pi/3)*u(3)))" } Block { BlockType Fcn Name "Fcn1" SID "5" Position [275, 160, 760, 210] Expr "2/3*(-sin(u(4)*u(1))-sin((u(4)-2*pi/3)*u(2))-sin((u(4)+2*pi/3)*u(3)))" } Block { BlockType Fcn Name "Fcn2" SID "6" Position [275, 284, 765, 336] Expr "2/3*((1/2*u(1))+(1/2*u(2))+(1/2*u(3)))" } Block { BlockType Mux Name "Mux" SID "14" Ports [4, 1] Position [80, 29, 165, 336] ShowName off } Block { BlockType Terminator Name "Terminator2" SID "48" Position [790, 300, 810, 320] } Block { BlockType Outport Name "xd" SID "29" Position [785, 68, 815, 82] IconDisplay "Port number" } Block { BlockType Outport Name "xq" SID "31" Position [785, 178, 815, 192] Port "2" IconDisplay "Port number" } Line { SrcBlock "Mux" SrcPort 1 Points [15, 0] Branch { Points [0, -110] DstBlock "Fcn" DstPort 1 } Branch { DstBlock "Fcn1" DstPort 1 } Branch { Points [0, 125] DstBlock "Fcn2" DstPort 1 } } Line { SrcBlock "u(1)" SrcPort 1 DstBlock "Mux" DstPort 1 } Line { SrcBlock "Fcn" SrcPort 1 DstBlock "xd" DstPort 1 } Line { SrcBlock "u(2)" SrcPort 1 DstBlock "Mux" DstPort 2 } Line { SrcBlock "Fcn1" SrcPort 1 DstBlock "xq" DstPort 1 } Line { SrcBlock "u(3)" SrcPort 1 DstBlock "Mux" DstPort 3 } Line { SrcBlock "u(4)" SrcPort 1 DstBlock "Mux" DstPort 4 } Line { SrcBlock "Fcn2" SrcPort 1 DstBlock "Terminator2" DstPort 1 } } } Block { BlockType SubSystem Name "Subsystem1" SID "49" Ports [3, 1] Position [845, 109, 1000, 291] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskDisplay "disp('PARK dq-ABC');" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" System { Name "Subsystem1" Location [2, 82, 1348, 715] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "u(1)" SID "50" Position [25, 58, 55, 72] IconDisplay "Port number" } Block { BlockType Inport Name "u(2)" SID "52" Position [25, 133, 55, 147] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "u(4)" SID "56" Position [25, 283, 55, 297] Port "3" IconDisplay "Port number" } Block { BlockType Fcn Name "Fcn3" SID "19" Position [205, 48, 715, 92] Expr "cos(u(4)*u(1))-sin(u(4)*u(2))+u(3)" } Block { BlockType Fcn Name "Fcn4" SID "20" Position [205, 237, 720, 283] Expr "cos((u(4)+2*pi/3)*u(1))-sin((u(4)+2*pi/3)*u(2))+u(3)" } Block { BlockType Fcn Name "Fcn5" SID "21" Position [205, 154, 720, 196] Expr "cos((u(4)-2*pi/3)*u(1))-sin((u(4)-2*pi/3)*u(2))+u(3)" } Block { BlockType Mux Name "Mux1" SID "22" Ports [4, 1] Position [80, 25, 115, 330] ShowName off } Block { BlockType Terminator Name "Terminator1" SID "58" Position [745, 250, 765, 270] } Block { BlockType Terminator Name "Terminator2" SID "57" Position [745, 165, 765, 185] } Block { BlockType Constant Name "u(3)" SID "59" Position [25, 200, 55, 230] Value "0" } Block { BlockType Outport Name "xa" SID "51" Position [740, 63, 770, 77] IconDisplay "Port number" } Line { SrcBlock "Mux1" SrcPort 1 Points [0, -5; 25, 0] Branch { DstBlock "Fcn5" DstPort 1 } Branch { Points [0, 85] DstBlock "Fcn4" DstPort 1 } Branch { Points [0, -105] DstBlock "Fcn3" DstPort 1 } } Line { SrcBlock "u(1)" SrcPort 1 DstBlock "Mux1" DstPort 1 } Line { SrcBlock "Fcn3" SrcPort 1 DstBlock "xa" DstPort 1 } Line { SrcBlock "u(2)" SrcPort 1 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "Fcn5" SrcPort 1 DstBlock "Terminator2" DstPort 1 } Line { SrcBlock "u(3)" SrcPort 1 DstBlock "Mux1" DstPort 3 } Line { SrcBlock "Fcn4" SrcPort 1 DstBlock "Terminator1" DstPort 1 } Line { SrcBlock "u(4)" SrcPort 1 DstBlock "Mux1" DstPort 4 } } } Block { BlockType SubSystem Name "Subsystem2" SID "62" Ports [0, 3] Position [55, 145, 135, 275] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Subsystem2" Location [2, 74, 1364, 707] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Sin Name "Sine Wave" SID "63" Ports [0, 1] Position [25, 25, 55, 55] Amplitude "220*sqrt(2)" Frequency "314" SampleTime "0" } Block { BlockType Sin Name "Sine Wave1" SID "64" Ports [0, 1] Position [25, 105, 55, 135] Amplitude "220*sqrt(2)" Frequency "314" Phase "+2*pi/3" SampleTime "0" } Block { BlockType Sin Name "Sine Wave2" SID "65" Ports [0, 1] Position [25, 180, 55, 210] Amplitude "220*sqrt(2)" Frequency "314" Phase "-4*pi/3" SampleTime "0" } Block { BlockType Outport Name "vsa" SID "66" Position [130, 33, 160, 47] IconDisplay "Port number" } Block { BlockType Outport Name "vsb" SID "67" Position [130, 113, 160, 127] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "vsc" SID "68" Position [130, 188, 160, 202] Port "3" IconDisplay "Port number" } Line { SrcBlock "Sine Wave1" SrcPort 1 DstBlock "vsb" DstPort 1 } Line { SrcBlock "Sine Wave" SrcPort 1 DstBlock "vsa" DstPort 1 } Line { SrcBlock "Sine Wave2" SrcPort 1 DstBlock "vsc" DstPort 1 } } } Block { BlockType SubSystem Name "Subsystem3" SID "71" Ports [0, 3] Position [690, 135, 770, 265] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Subsystem3" Location [367, 192, 552, 429] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Sin Name "Sine Wave" SID "72" Ports [0, 1] Position [25, 25, 55, 55] Amplitude "220*sqrt(2)" Frequency "314" SampleTime "0" } Block { BlockType Sin Name "Sine Wave1" SID "73" Ports [0, 1] Position [25, 105, 55, 135] Amplitude "220*sqrt(2)" Frequency "314" Phase "+2*pi/3" SampleTime "0" } Block { BlockType Sin Name "Sine Wave2" SID "74" Ports [0, 1] Position [25, 180, 55, 210] Amplitude "220*sqrt(2)" Frequency "314" Phase "-4*pi/3" SampleTime "0" } Block { BlockType Outport Name "vsa" SID "75" Position [130, 33, 160, 47] IconDisplay "Port number" } Block { BlockType Outport Name "vsb" SID "76" Position [130, 113, 160, 127] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "vsc" SID "77" Position [130, 188, 160, 202] Port "3" IconDisplay "Port number" } Line { SrcBlock "Sine Wave1" SrcPort 1 DstBlock "vsb" DstPort 1 } Line { SrcBlock "Sine Wave" SrcPort 1 DstBlock "vsa" DstPort 1 } Line { SrcBlock "Sine Wave2" SrcPort 1 DstBlock "vsc" DstPort 1 } } } Block { BlockType Constant Name "ws" SID "69" Position [115, 345, 145, 375] Value "314" } Line { SrcBlock "ws" SrcPort 1 Points [25, 0; 0, -60] DstBlock "Subsystem" DstPort 4 } Line { SrcBlock "Subsystem3" SrcPort 1 Points [25, 0; 0, -15] DstBlock "Subsystem1" DstPort 1 } Line { SrcBlock "Subsystem3" SrcPort 2 DstBlock "Subsystem1" DstPort 2 } Line { SrcBlock "Subsystem3" SrcPort 3 Points [25, 0; 0, 15] DstBlock "Subsystem1" DstPort 3 } Line { SrcBlock "Subsystem1" SrcPort 1 DstBlock "Scope2" DstPort 1 } Line { SrcBlock "Subsystem2" SrcPort 1 DstBlock "Subsystem" DstPort 1 } Line { SrcBlock "Subsystem2" SrcPort 3 DstBlock "Subsystem" DstPort 3 } Line { SrcBlock "Subsystem2" SrcPort 2 DstBlock "Subsystem" DstPort 2 } Line { SrcBlock "Subsystem" SrcPort 2 DstBlock "Scope1" DstPort 1 } Line { SrcBlock "Subsystem" SrcPort 1 DstBlock "Scope" DstPort 1 } Annotation { Position [444, 94] } Annotation { Position [1099, 193] } } }