signal carré fréquence réglable
Répondre à la discussion
Affichage des résultats 1 à 10 sur 10

signal carré fréquence réglable



  1. #1
    invitea2a8c02d

    Cool signal carré fréquence réglable


    ------

    Bonjour

    Je cherche actuellement à réaliser un signal carré de rapport cyclique 50% avec une fréquence réglable de entre 100Hz et 5KHz.

    Si quelequ'un à un montage à me proposer je suis preneur.

    Actuellement j'utilise un Ne555 et je n'arrive pas à obtenir une fréquence réglable.

    Je vous remercie d'avance pour vos réponses.

    -----

  2. #2
    Jean-Guy

    Re : signal carré fréquence réglable

    Bonjour WURTH

    Bin... avec un 555, c'est évident que tu n'auras jamais un rapport cyclique de 50% : il n'est simplement pas fait pour! Le 555 est une antiquité que, selon des sources archéologiques bien informées(???), le grand-père d'Adam et Ève aurait achetée de seconde main...

    Voici quelque chose de plus souple. Ça utilise des circuits CMOS. (Pas très jeunes non plus mais bon...)

    Si tu insistes pour avoir un rapport de 50%, la façon la plus sûre est de générer le double de la fréquence désirée, puis de la diviser par deux par un compteur ou une bascule. Dans le shéma joint, j'ai utilisé un inverseur hex CD4069 comme oscillateur, puis un CD4040 comme compteur.

    Le potentiomètre sert à régler les fréquences. Lorsque le curseur est tout au haut, la fréquence de l'oscillateur (aux sorties 4, 6, 8, 10 et 12) est d'un peu plus de 10KHz ; lorsque le curseur est à l'autre bout, elle est d'un peu moins de 190Hz. Cependant, ce type d'oscillateur ne te garantit pas un rapport cyclique de 50% exact. Alors, cette onde est injectée dans U2, un CD4040 dont la sortie (patte 9) te donne un rapport cyclique de 50% exact, à la moitié de la fréquence de l'oscillateur, soit d'un peu plus de 5KHz à un peu moins de 95Hz selon le réglage du potentiomètre.

    Les pattes du CD4040 que j'ai laissées libres sont les sorties des autres compteurs : laisse les non connectées.

    Le VDD est l'alimentation positive (de +5 à +15 Vdc).

    Je te suggère fortement d'utiliser un potentiomètre logarithmique comme ceux qui servent de contrôle de volume en audio. Sinon, tu trouveras que toutes les fréquences sont regroupées à un bout. Si, te servant d'un "pot" log, c'est encore le cas, tourne-le simplement bout pour bout : ça va te simplifier la vie.

    Certains te diront peut-être que R1 n'est pas nécessaire : laisse-les dire! Elle sert à protéger la diode de protection (ça fait drôle quant même non? : protéger le circuit de protection) qu'il y a dans la puce à l'entrée 1 de U1. En effet, à la jonction entre le condensateur et le pot, la tension atteint 1.5 fois la tension d'alimentation. Cette diode sert à protéger les gâchettes des transistors d'entrée : elle couperait ce qui dépasse. Ceci aurait pour effet de 1) fausser le temps de décharge du condensateur (et donc la fréquence de sortie) et 2), à la longue, "fatiguer" la diode.

    Sois prudent en manipulant des puces CMOS : c'est sensible à l'électricité statique!

    Amuse-toi bien et donne-nous des nouvelles.
    Images attachées Images attachées  

  3. #3
    curieuxdenature

    Re : signal carré fréquence réglable

    Bonjour

    il y a moyen de faire avec le 555 sans se compliquer la vie, il suffit de faire suivre le signal par une bascule, ça divise par 2 mais c'est parfaitement cyclique.
    Je n'ai pas d'autre exemple sous la main, en voici une application :
    Images attachées Images attachées  
    L'electronique, c'est fantastique.

  4. #4
    gcortex

    Re : signal carré fréquence réglable

    ou un 4069 :
    Images attachées Images attachées  

  5. A voir en vidéo sur Futura
  6. #5
    Antoane
    Responsable technique

    Re : signal carré fréquence réglable

    ou un 555 comme dans le montage #4, tu obtiens un rapport cyclique de 0.48 (avec un NE555 ; tu obtiens 0.500 avec un 555 en C-MOS -dont j'ai oublié la référence-)

  7. #6
    Chimimic

    Re : signal carré fréquence réglable

    bonjour,

    pas mieux que la solution de Jean-Guy et Curieuxdenature.

    Cordialement.

  8. #7
    invite03481543

    Re : signal carré fréquence réglable

    Salut,
    Une autre approche avec éventuellement un AD654, qui est un convertisseur V/F, il produit un rapport cyclique de 50% quelque soit la fréquence produite.
    Il faut lui injecter une tension (0-10V) pour faire varier la fréquence.
    Il possède une excellente linéarité.
    @+

  9. #8
    Jean-Guy

    Re : signal carré fréquence réglable

    Bonjour à tous et toutes

    Exact Hulk! Excellente suggestion!

    Un autre choix serait le CD4046 (qui existe en plusieurs variantes). C'est essentiellement un circuit PLL (Phase-Locked Loop, ou boucle contrôlée par phase) mais, en plus des comparateurs de phases, il contient un excellent convertisseur V/F ("VCO" : Voltage-Controlled Oscillator). Ce circuit, le premier des PLL en CMOS, est vraiment un coup de maître de la RCA. Je l'ai utilisé à plusieurs reprises dans des applications très diverses et j'ai été surpris de son universalité et de sa linéarité.

    Je me souviens qu'une fois je l'avais employé pour une application de multiplication de fréquence. Il se comportait réellement très bien : fréquence très juste et très stable, exactement 50% de rapport cyclique, etc. Par acquis de conscience, je vérifie de routine les autres paramètres. J'ai été surpris de constater que le voltage à l'entrée du VCO (ou convertisseur V/F) était presque zéro, ce qui n'était pas comme prévu. Je regarde mieux mon assemblage (qui était un prototype) et je remarque que j'avais oublié de mettre le condensateur qui détermine la portée de fréquences. Il utilisait les capacités parasites de mon circuit!!!

    Depuis, je suis pâmé d'admiration devant les concepteurs de ce circuit intégré, surtout sachant qu'il date des débuts du CMOS.

    À la prochaine.

  10. #9
    Chimimic

    Re : signal carré fréquence réglable

    bonjour à tous,

    ah oui, tiens, je n'y avais même pas pensé, à celui-là (pourtant).

    L'initiateur du sujet est-il toujours parmi nous ?

    Cordialement.

  11. #10
    invitea2a8c02d

    Smile Re : signal carré fréquence réglable

    Bonjour,

    Merci à toutes les personnes qui ont participé, je vais testé les différents montage proposé et je vous tiens au courant.

    Salut

Discussions similaires

  1. convertir un signal carré en un signal sinusoidal
    Par invitef2e31089 dans le forum Électronique
    Réponses: 11
    Dernier message: 21/05/2011, 11h31
  2. Transformer un signal continu en signal carré
    Par invite4941ea49 dans le forum Électronique
    Réponses: 12
    Dernier message: 14/05/2007, 17h52
  3. Transistor en commutation pour faire signal carré d'amplitude réglable
    Par invite74b73858 dans le forum Électronique
    Réponses: 6
    Dernier message: 29/09/2006, 10h17
  4. Création d'un signal carré avec rapport cyclique réglable
    Par invite74b73858 dans le forum Électronique
    Réponses: 6
    Dernier message: 22/09/2006, 21h05
  5. Signal continue vers signal sinusoidale carré
    Par invite0cebd2e1 dans le forum Électronique
    Réponses: 9
    Dernier message: 11/05/2006, 17h01
Découvrez nos comparatifs produits sur l'informatique et les technologies.