[vhdl] Récupérer la carry d'une addition de deux vecteurs
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

[vhdl] Récupérer la carry d'une addition de deux vecteurs



  1. #1
    invite9c1c46bb

    [vhdl] Récupérer la carry d'une addition de deux vecteurs


    ------

    Bonjour à tous,

    J'aurais besoin de vos lumières quant-à un problème de vhdl.

    J'ai deux vecteurs de bits (std_logic_vector) et j'aimerais savoir s'il était possible de récupérer la carry générée lors de l'addition (resultat<=A+B; ).

    Mes vecteurs A et B sont de taille 'N', j'ai alors bien pensé mettre ma sortie résultat de taille N+1 malheureusement la bibliothèque arithmétique ne me le permet pas.

    Est-il possible qu'il y ait une fonction, du type 'overflow' qui récupèrerait la carry de mon addition?

    Cordialement,

    Cédric

    P.S. J'espère que mon post se trouve dans la bonne section.

    -----

  2. #2
    jiherve

    Re : [vhdl] Récupérer la carry d'une addition de deux vecteurs

    Bonsoir,
    imaginons que tes paramètres soient du type:
    signal (variable)titi,tata : std_logic_vector (n-1 downto 0);
    tu déclares
    signal(variable) toto : std_logic_vector(n downto 0);
    et tu écris alors
    toto <= std_logic_vector(unsigned('0' & titi) + unsigned ('0' & tata));
    dans ce cas ta carry est toto(n)!
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    invite9c1c46bb

    Re : [vhdl] Récupérer la carry d'une addition de deux vecteurs

    Bonjour,

    Merci pour l'info, je viens de tester et ça marche nickel. Pas compliqué en soit mais il fallait y penser (j'ai pas assez de recul et d'expérience).

    J'ai juste du modifier les unsigned en std_ulogic_vector, car le compilo n'acceptait pas.

    Merci encore pour la pertinence et la rapidité de la réponse.

    Cordialement,

    Cédric

Discussions similaires

  1. Colinéarité de deux vecteurs
    Par inviteb35822f4 dans le forum Mathématiques du supérieur
    Réponses: 3
    Dernier message: 02/04/2009, 14h01
  2. Erreur entre deux vecteurs
    Par invite07e29e2f dans le forum Mathématiques du supérieur
    Réponses: 13
    Dernier message: 24/08/2007, 17h24
  3. Addition en VHDL
    Par invitef9e6be50 dans le forum Électronique
    Réponses: 3
    Dernier message: 27/04/2007, 21h08
  4. mesure de l'erreur entre deux vecteurs
    Par invite76dbfb70 dans le forum Mathématiques du supérieur
    Réponses: 1
    Dernier message: 23/06/2005, 11h24
  5. Addition de deux signaux
    Par invite28064c25 dans le forum Électronique
    Réponses: 5
    Dernier message: 16/05/2005, 22h09
Découvrez nos comparatifs produits sur l'informatique et les technologies.