Bonjour tout le monde,

Je travaille sur un prog VHDL avec des entiers que je vais faire la somme mais avec un additionneur binaire donc je cherche à faire une conversion des entiers en entrée du décimal au binaire en VHDL !

Merci de votre aide.