Question VHDL
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

Question VHDL



  1. #1
    newtech1

    Question VHDL


    ------

    bBonjour,


    J'ai deux en questions en VHDL svp


    1) En supposant que 2 process snt uniquement sensibles à l’horloge, est-ce que leur
    comportement relatif est concurrent ou séquentiel ? Pourquoi ? dans le cas ou on veut pouvoir utiliser une communication entre ces deux process, comment on peut faire??


    2) Est-il possible de générer un pipeline de plusieurs étages en utilisant un unique process VHDL ? si oui comment es ce possible?


    Merci

    -----

  2. #2
    alban77

    Re : Question VHDL

    Salut,

    Les proces avec une liste de sensibilité lié à la clock seront concurents.

    pour qu'ils travaillent ensemble, il faut ajouter un signal qui communiquera entre les 2 composants, et ajouter ce signal à la liste de sensibilté, ou verifier sur chaque front clock si ce signal a changer



    A+

  3. #3
    jiherve

    Re : Question VHDL

    Bonsoir,
    1 : pour deux process ayant la même horloge il n'est pas nécessaire de déclarer les signaux échangés dans la liste de sensibilité car par principe ils seront évalués à chaque front actif de l'horloge. Ils sont ne sont pas stricto sensu concurents car ils ne doivent pas affecter les même signaux, ils sont // et localement sequentiels.
    2 : oui
    JR
    l'électronique c'est pas du vaudou!

  4. #4
    newtech1

    Re : Question VHDL

    Bonsoir

    Je vous remercie pour vos deux réponses

  5. A voir en vidéo sur Futura

Discussions similaires

  1. question en vhdl
    Par invite60546543 dans le forum Électronique
    Réponses: 10
    Dernier message: 26/02/2011, 10h33
  2. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  3. Une petite question à propos du VHDL
    Par invite84ff7edc dans le forum Électronique
    Réponses: 5
    Dernier message: 07/02/2008, 17h04
  4. question vhdl
    Par invite54847131 dans le forum Électronique
    Réponses: 10
    Dernier message: 24/08/2007, 22h35
  5. question vhdl
    Par invitef4609854 dans le forum Électronique
    Réponses: 2
    Dernier message: 28/03/2007, 19h28
Découvrez nos comparatifs produits sur l'informatique et les technologies.