Réalisation de registres et mémoire sous VHDL
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

Réalisation de registres et mémoire sous VHDL



  1. #1
    riro15

    Réalisation de registres et mémoire sous VHDL


    ------

    slt tt le monde ,je suis etudiant en informatique et on a comme module le VHDL,j'ai un rapport tp sur ces truc que je n'arrive pas a realisé si quelq'un peut m'aider avec la documentation ou autre chose je vous remercis tous déja pour avoir lu mon msg et je suis debutant ici et voila l'ennoncé pour la premiere question c'est bon mais les autres je suis bloqué merci


    I) Décodeur: Réaliser un circuit décodeur 3 vers 8 (N vers 2N). Ce circuit accepte en entrée un combinaison binaire de 3 bit et active une seule sortie correspondant à la position donnée par la valeur décimale de la combinaison binaire en entrée (1 parmi 2N).
    II) Registre Mémoire :Réaliser un registre mémoire de 4 bits ayant les caractéristiques suivantes : Ce registre possède une commande R/W telle que :
    • Si la commande R/W est à 0 alors le registre charge en parallèle les bits présents sur ses 4 entrées de données E=E0 E1 E2 E3 (écriture mémoire)
    • Si R/W=1 alors le registre présente en parallèle sur ses sorties s=s0 s1 s2 s3 son contenu binaire (lecture mémoire)
    Ce registre mémoire est commandé par un signal «Sel» de sélection qui :
    • Quand Sel = 1 le registre est activé et réagit à ses autres entrées
    • Quand Sel = 0 le registre est désactivé (garde son état) quelque soient les autres signaux en entrée (R/W et E)
    Ce registre possède en réalité un seul bus de données utilisé en entrée et sortie (E et s forment le même bus de données d = D0 D1 D2 D3 D4) (Utiliser l’élément Buffer à 3 états ou «Tristate buffer» qui possède un 3ème état Haute Impédance qui correspond à l’état déconnecté)
    III) Mémoire centrale d’un processeur :Réaliser une mémoire de taille (8 x 4) en utilisant les sous circuits précédents. Cette mémoire doit pouvoir effectuer des opérations d’écriture et de lecture par le biais d’une commande R/W Cette mémoire est supposée être commandée par un processeur par l’intermédiaire d’un bus d’adresse, d’un bus de donnée et d’un bus de commande.
    IV) Extension d’une mémoire centrale d’un processeur : Utiliser le circuit précédent pour réaliser une mémoire centrale d’un ordinateur doté d’un bus de données de 8 fils et d’un bus d’adresses de 4 fils.

    Fiche d’information du circuit «TRISTATE BUFFER»
    Où Z représente l’état HAUTE IMPEDANCE qui fait que le circuit se comporte comme s’il était déconnecté.

    -----

  2. #2
    jiherve

    Re : Urgent : réalisation de registres et mémoire sous VHDL

    Bonsoir,
    Bienvenue ici.
    qu'as tu fait jusqu'à présent?
    http://esd.cs.ucr.edu/labs/tutorial/
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    gienas
    Modérateur

    Réalisation de registres et mémoire sous VHDL

    Bonsoir riro15 et tout le groupe

    Bienvenue sur le forum.

    La notion d'urgence n'a pas lieu d'être, sur un forum, et, généralement, elle est très mal vécue par les intervenants. Je l'ai donc supprimée.

    Citation Envoyé par riro15 Voir le message
    ... etudiant ... j'ai un rapport tp sur ces truc que je n'arrive pas a realisé ...
    Nous avons ici aussi, des règles décrites dans l'épinglé suivant

    http://forums.futura-sciences.com/el...-rappeler.html

    dont il vaut mieux tenir compte avant d'interroger la communauté.

  4. #4
    riro15

    Re : Réalisation de registres et mémoire sous VHDL

    merci a tous pour votre intention,et pour les regles je vais jeté un coup d’œil et merci une 2em fois

  5. A voir en vidéo sur Futura

Discussions similaires

  1. VHDL Et la fonction mémoire
    Par netcien dans le forum Électronique
    Réponses: 10
    Dernier message: 15/04/2013, 15h12
  2. Mémoire 4K x 4bits en VHDL
    Par Cerghan dans le forum Électronique
    Réponses: 0
    Dernier message: 07/05/2009, 11h33
  3. Registres sous Windows
    Par VIIV dans le forum Électronique
    Réponses: 1
    Dernier message: 01/09/2008, 19h08
  4. memoire cache vhdl
    Par invite31c0c3fb dans le forum Électronique
    Réponses: 1
    Dernier message: 04/04/2007, 20h56
  5. Vhdl-accès à la mémoire
    Par lignux dans le forum Électronique
    Réponses: 3
    Dernier message: 13/02/2007, 18h53
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...