Problème de nombres réels en VHDL
Répondre à la discussion
Affichage des résultats 1 à 13 sur 13

Problème de nombres réels en VHDL



  1. #1
    mah.stockage

    Problème de nombres réels en VHDL


    ------

    Bonjour Tout le monde j'ai un problème avec la virgule fixe j'essaye d'affiché mes resultat de calcul sous forme des real sachant que mon traitement je le fais avec des enteger exemple z = 4.5 c'est le résultat de 9/2 j'arrive pas a le faire !!!!!
    Aussi j'ai essayer d'exploité la puissance avec un nombre négative x<=2**(-3); il m’affiche cette erreur
    Error (10342): VHDL error at Filter.vhd(143): exponentiation with negative exponent must be used only for real type objects

    Merci pour votre aide

    -----

  2. #2
    albanxiii
    Modérateur

    Re : Multiplication virgule fixe en VHDL

    Bonjour,

    Vous auriez pu ouvrir un nouveau fil avec votre question. Mais maintenant ne faites rien, si un modérateur de la rubrique passe et qu'il le juge nécessaire il coupera les nouveaux messages de cette discussion pour en crer une nouvelle.

    Et avec "x <= 2.0 ** (-3)" ?

    @+

    Problème réglé
    Dernière modification par Jack ; 15/12/2013 à 17h16.
    Not only is it not right, it's not even wrong!

  3. #3
    mah.stockage

    Re : Multiplication virgule fixe en VHDL

    Merci bien pour votre réponse
    je viens d'essayer ça marche pas !

  4. #4
    jiherve

    Re : Multiplication virgule fixe en VHDL

    Bonjour,
    où est vraiment le problème, donne un exemple de ton code.
    Nota : les fonction mathématique "savantes" ne sont généralement pas synthétisables, par contre elle sont utilisables dans des calculs de constantes, il faut alors utiliser la librairie :use IEEE.math_real.all;
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    mah.stockage

    Re : Multiplication virgule fixe en VHDL

    Merci encore pour vous ,
    Au fait mon problème c'est que j'ai des mesures a faire tension,courant etc... ses valeur sont des real de 0.00 a X.00 par exemple et a cahque fois que je déclare des real exemple X : out real ; etc il me dis lors de la simulation real non synthétisable.alors j'ai tout declarer en integer et puis j'ai essayer d'utilisé la notion de virgule Fixe j'arrive pas je ne sais pas comment déclarer ni comment utilisé cette méthode de virgule fixe .
    information : mes sorties je dois les avoir comme des nombre real j'ai besoin de les afficher par exemple X=3.50 etc
    Merci pour votre aide.

  7. #6
    jiherve

    Re : Multiplication virgule fixe en VHDL

    Re
    Veux tu dire que tes appareils de mesures fournissent des grandeurs codées en IEEE754?
    JR
    l'électronique c'est pas du vaudou!

  8. #7
    mah.stockage

    Re : Multiplication virgule fixe en VHDL

    Je m’excuse je ne sais pas exactement ce que veut dire grandeurs codées en IEEE754 mais je moquerais de voir ce que c'est !
    voila un exemple de mon besoin :
    J'ai une grandeur qui varie de 0 a 7 avec un pas de de 0.1 c'est a dire il prends 0.0,0.1,0.2,0.3jusqu'a 7.0 et cette grandeur c'est une sortie de mon système,et c'est résultat de la devisions de A/B qui sont mes entrée supposant c'est des tension et courant.
    Pour l’instant j'arrive pas a réalisé mes opération avec des real.j'essaye de faire mes calculs avec des integer et puis utilisé la notion de virgule fixe mais j'arrive .
    j'arrive a manipuler des integer des std logic etccc mais pas les real / auriez vous un exemple de code VHDL ? ou je peux voir la manipulation des nombres real je vais m’inspirai de lui.
    Merci d'avance

  9. #8
    jiherve

    Re : Multiplication virgule fixe en VHDL

    Re,
    Il n'existe pas de code simple synthétisable capable de manipuler des reals, par contre on peut instancier une ALU flottante (un bloc de code http://opencores.org/project,fpuvhdl) permettant de le faire.
    Si tu expliquais le type de calculs que tu envisages on avancerait plus vite.
    JR
    l'électronique c'est pas du vaudou!

  10. #9
    mah.stockage

    Re : Problème de nombres réels en VHDL

    je vous remercie vraiment :
    Voila quelque détailles ce que je fais c'est des estimation je mesure la température et autres paramètres humidité etc mais restant sur un seul paramètre
    je mesure la température a plusieurs reprise et on exploitant ses valeur je fais une estimation qui serai ma sortie et qui prends des valeurs reals
    ce que je fais c'est la conversion de mes variation de tension acquise d'un capteur a des température.et pour cela je doit traiter mes données et faire des opérations multiplication somme soustraction devisions intégral dérivation etc j'ai bcp de traitement a faire en utilisant un <<<Filtre de Kalman>>> je voulais pas détailler car ça vas prendre toutes la page ici mais bon .
    ce que je veux comprendre c'est cette virgule fixe comment ça marche,un simple exemple qui traite et affiche des valeur reals vas me permettre de comprendre et de transposé ça sur mon problème.
    j’espère que je suis assis claire sinon je vais tout reformuler autrement ça ne me dérange pas si vous voulez !!
    Merci et passez une bonne soirée

  11. #10
    jiherve

    Re : Problème de nombres réels en VHDL

    Re
    Tout ceci peut se faire en virgule fixe mais je conçois très bien que cela puisse sembler plus facile avec des flottants seulement les flottants et VHDL c'est pas vraiment immédiat, le traitement ne peut il être pris en charge par un micro (éventuellement DSP) et du soft?
    JR
    l'électronique c'est pas du vaudou!

  12. #11
    mah.stockage

    Re : Problème de nombres réels en VHDL

    Re Merci pour l'information

    C'est ce que j'essaye de faire avec la virgul fixe mais j'arrive pas a trouver la bonne syntaxe et comment ça marche avec la virgul fixe.je travaille encore sur ça merci pour vous

  13. #12
    jiherve

    Re : Problème de nombres réels en VHDL

    Re
    La virgule fixe c'est une convention que tu te fixes, le VHDL n'en sait rien. En fait c'est du calcul en entier dont on interprète les résultats.
    JR
    l'électronique c'est pas du vaudou!

  14. #13
    mah.stockage

    Re : Problème de nombres réels en VHDL

    Bonjour et merci ma tête tourne depuis se matin et moi devant l'ordinateur la 1h37 du matin voila je me rends comte de ça par contre il me reste quelque difficulté comme j'ai bcp de traitement a faire mais bon Merci bien pour votre aide

Discussions similaires

  1. DM sur les nombres réels
    Par invite4ed9a571 dans le forum Mathématiques du collège et du lycée
    Réponses: 6
    Dernier message: 11/09/2011, 10h14
  2. nombres réels !
    Par invite870bfaea dans le forum Mathématiques du supérieur
    Réponses: 4
    Dernier message: 04/10/2006, 20h53
  3. Nombres Reels--Exercices.
    Par invitee60af3c1 dans le forum Mathématiques du supérieur
    Réponses: 3
    Dernier message: 30/12/2005, 17h25
  4. A propos des Nombres reels...
    Par invite4dc78ee9 dans le forum Mathématiques du supérieur
    Réponses: 10
    Dernier message: 11/11/2005, 16h57
  5. Les nombres réels
    Par invite9d2d3d4c dans le forum Mathématiques du supérieur
    Réponses: 5
    Dernier message: 11/05/2005, 22h41
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...