FPGA ou CPLD
Répondre à la discussion
Affichage des résultats 1 à 10 sur 10

FPGA ou CPLD



  1. #1
    shadow-man

    FPGA ou CPLD


    ------

    Bonjours a tous
    J'ai un petit problème je doit commander une alimentation a découpage en modifiant le rapport cyclique, la fréquence et le temps mort. Je doit faire sa a une fréquence comprise entre 100 Khz et 1 Mhz. Le problème est que je n'arrive pas a me décider entre commander l'alimentation a découpage avec un FPGA ou un CPLD. Je connais la différence entre les deux mais je ne peut me décider.

    par la suite j'aimerais faire un asservissement complet avec capteur de courant et tension.

    Pourriez vous m'aidez?

    -----
    Dernière modification par shadow-man ; 14/04/2014 à 14h45.

  2. #2
    jiherve

    Re : FPGA ou CPLD

    Bonsoir et bienvenue,
    Ni l'un ni l'autre car à moins de maitriser parfaitement un asservissement numérique cela ira dans le mur, il y a des circuits tout faits pour cela, voir TEXAS, LT etc.
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    shadow-man

    Re : FPGA ou CPLD

    Je maîtrise le language vhdl car je l est aprit en Dut mais je ne sais pas dimensionner les fpga ou cpld
    De plus si je vous demande cela c'est pour le réaliser en projet. Merci encore de l'intérêt que tu porte à mon poste

  4. #4
    vincent66

    Re : FPGA ou CPLD

    Bonsoir,
    Crée déjà le projet en vhdl et compile-le, un bon outil de développement comme de chez Xilinx ou Altera te recommandera un composant dans lequel ça entrera...
    Leonardo était ingénieur "sans papier", et moi diplômé juste...technicien...

  5. A voir en vidéo sur Futura
  6. #5
    shadow-man

    Re : FPGA ou CPLD

    salut a toutes et tous
    je vais compiler mon projet dans digilent adept system merci beaucoup pour l'info .
    j'ai maintenant un autre problème .
    J'ai trouver des exemples d'un code qui fait varie le rapport cyclique d'un PWM je me demande juste pourquoi utiliser deux signaux comparer alors que une bascule ferait très bien l'affaire ?
    merci encore pour vos réponse .
    comme je ne sais pas très bien m'exprimer je vous mes en pièce jointe la méthode des 2 signaux comparer pour faire varier le rapport cyclique.
    Images attachées Images attachées

  7. #6
    vincent66

    Re : FPGA ou CPLD

    Bonsoir,
    Le document technique mis en pj est un peu indigeste mais en y lisant bien il y est expliqué le principe de base de tout pwm...
    - A chaque clock un compteur est incrémenté, il commence à une valeur zéro lors de laquelle la sortie pwm est active, lors de chaque incrémentation la valeur du compteur est comparée avec la valeur Tonmax, une foi cette valeur atteinte la sortie pwm est désactivée, et le compteur continue à être incrémenté, lorsque le compteur a atteint sa valeur maximale il est remis à zéro et le cycle recommence...
    Certains générateurs pwm sophistiqués permettent de définir une valeur de comptage maximale mais la plupart se limitent à la valeur maximale du compteur, 255 pour un 8 bit ou 65535 pour un 16...

    Je ne crois pas pouvoir expliquer ceci plu simplement...

    Vincent
    Leonardo était ingénieur "sans papier", et moi diplômé juste...technicien...

  8. #7
    shadow-man

    Re : FPGA ou CPLD

    d'accord merci pour l'info mais j'ai du mal m'exprimer je voulais juste savoir pourquoi on fait un PWM par comparaison de signaux ?
    a mon avis (et sa n'engage que moi) un FPGA peut délivrer 2 sorte de valeur, soit a l'état haut soit l'état bas, donc pourquoi ne pas mettre directement un seul signal que l'on mettrai a l'état haut et l'état bas le temps qu'il faudrai.
    et merci a toi Vincent pour avoir prit le temps de lire mon document

  9. #8
    luc_1049

    Re : FPGA ou CPLD

    Bonjour

    Je pense que c'est par facilité de la conception synchrone dans un fpga ou un cpld. En plus cela ne doit pas prendre de place en terme de bascule.
    Un process qui génère une dent de scie.
    Un process qui compare par rapport à une consigne et fait basculer un signal à 1 ou à 0.

    Du reste n'est ce pas le même système qui est employé dans les micros qui génère du pwm ou des signaux de style "output compare" principe plus ou moins proche.

    cdlt

  10. #9
    bobflux

    Re : FPGA ou CPLD

    Citation Envoyé par shadow-man Voir le message
    pourquoi ne pas mettre directement un seul signal que l'on mettrai a l'état haut et l'état bas le temps qu'il faudrai.
    Parce que "le temps qu'il faudrait" implique qu'il faut un truc qui décide quand c'est le moment de sortir un 0 ou un 1, donc par exemple, un compteur avec un comparateur...

    > Du reste n'est ce pas le même système

    si, c'est toujours le même truc, un compteur et un ou plusieurs comparateurs, dans les modèles évolués le compteur peut aller à l'endroit puis à l'envers plutôt que revenir à zéro, tu peux aussi avoir un flop en sortie, mais ça ne change pas l'idée...

  11. #10
    shadow-man

    Re : FPGA ou CPLD

    ok merci pour toute ses explications qui mon beaucoup servit .
    Juste pour info on m'a prêter l'évalboard Nexys 3 donc je vais pouvoir commencer a programme dessus avec un logiciel qui s'appele ISE Design Suite 14.7
    je pense que j'aurais encore besoin de quelque personnes plus tard (celle qui pourront m'aider ) merci encore pour tous vos conseil et a bientot.

Discussions similaires

  1. programmation d'un pIc et d'un CPLD
    Par foudefoot dans le forum Électronique
    Réponses: 0
    Dernier message: 26/11/2011, 15h04
  2. Sample FPGA et/ou CPLD
    Par ben1015 dans le forum Électronique
    Réponses: 1
    Dernier message: 27/08/2010, 03h41
  3. Communication PIC - CPLD
    Par loumi dans le forum Électronique
    Réponses: 1
    Dernier message: 10/12/2009, 11h55
  4. ARM et CPLD
    Par invite15873aad dans le forum Technologies
    Réponses: 3
    Dernier message: 04/04/2007, 00h00
  5. Fpga, Cpld
    Par Toufinet dans le forum Électronique
    Réponses: 2
    Dernier message: 12/05/2006, 13h03
Découvrez nos comparatifs produits sur l'informatique et les technologies.