Acquisition d'une carte de développement FPGA
Répondre à la discussion
Affichage des résultats 1 à 19 sur 19

Acquisition d'une carte de développement FPGA



  1. #1
    electro575

    Acquisition d'une carte de développement FPGA


    ------

    Bonjour,

    J'aimerais m'acheter une carte FPGA pour faire quelques projets mais j'aurais besoin d'aide sur un point essentiel.

    Premier exemple : sur une carte nommée Basys 2 vous avez un FPGA qui se nomme Xilinx Spartan 3-E FPGA avec un nombre de porte logique qui est de 100K
    http://fr.rs-online.com/web/p/fpga/6972894/

    Deuxième exemple : sur une carte nommée DE0 vous avez un FPGA qui se nomme Cyclone III 3C16 FPGA avec un nombre de porte logique inconnu!
    http://www.terasic.com.tw/cgi-bin/pa...o=364&PartNo=2

    Problème : comment calculer le nombre de porte logique à partir d'autres éléments?

    Merci d'avance

    -----

  2. #2
    indri

    Re : Acquisition d'une carte de développement FPGA

    Tu peux regarder les "logics elements" pour comparer les deux:
    -2160 pour le spartan
    -15000 pour le cyclone
    Là où va le vent...

  3. #3
    Murayama

    Re : Acquisition d'une carte de développement FPGA

    Bonjour!

    J'ai acheté la DE0 il y a quelque temps. Il me semble que la doc faisait état de
    22K logic units, donc c'est conforme en gros à ce que dit Indri.
    Mon critère de choix était que ça fonctionne sans émulateur, directement sur
    le port USB. Et on peut faire déjà beaucoup avec la version gratuite de quartus.

    Pascal

  4. #4
    electro575

    Re : Acquisition d'une carte de développement FPGA

    D'accord. En fait j'aimerais implémenter un programme un peu "lourd", c'est pour ca que je regarde le nombre de porte logique.

    Donc si je comprends bien, si la spartan a 2160 logics elements et a 100 000 portes logiques alors on peut dire que pour 15 000 on aurait 700 000 portes logiques? Je ne sais pas si ça suit un loi linéaire. J'aimerais ne pas me tromper.

    Murayama, tu peux être plus préci pour le port USB? Je n'ai pas compris pour l'émulateur.

    J'aimerais prendre la DE0 nano puisque la DE0 ne possède je pense de manière général que des périphériques que l'on peut câbler. (comme le port VGA)
    http://www.terasic.com.tw/cgi-bin/pa...o=593&PartNo=2

    De ce fait, j'aurais un meilleur FPGA qui est un cyclone IV avec 23 000 logics elements ce qui correspond à combien de porte logique?

  5. A voir en vidéo sur Futura
  6. #5
    Murayama

    Re : Acquisition d'une carte de développement FPGA

    Bonjour!

    J'ai acheté la DE0 suite à un cours organisé par la chambre de commerce locale.
    Dans ce cours, nous utilisions une carte pour laquelle il était nécessaire de connecter
    un genre de JTAG (*), donc un genre d'émulateur (*).
    La DE0, pour autant qu'il m'en souvienne, permet de programmer directement par le port
    USB (à vérifier, il y a un moment que je n'y ai pas touché). Elle a donc un émulateur sur
    la carte. Je pense que c'est le rôle d'un autre FPGA de la carte, le MAX quelque chose
    si je me souviens bien.

    (*) Je ne suis pas un pro du VHDL, et je ne sais pas si les termes JTAG et émulateur
    sont adéquats dans le contexte. Mais par analogie avec les processeurs ordinaires, j'espère
    être compris.

    Pascal

  7. #6
    electro575

    Re : Acquisition d'une carte de développement FPGA

    Oui d'accord, je te remercie pour ton explication.

  8. #7
    Francois06

    Re : Acquisition d'une carte de développement FPGA

    Je confirme, pour en avoir utilisé une, que la DE0 se programme directement en USB

  9. #8
    bobflux

    Re : Acquisition d'une carte de développement FPGA

    Citation Envoyé par electro575 Voir le message
    Donc si je comprends bien, si la spartan a 2160 logics elements et a 100 000 portes logiques alors on peut dire que pour 15 000 on aurait 700 000 portes logiques? Je ne sais pas si ça suit un loi linéaire. J'aimerais ne pas me tromper
    En gros, c'est à peu près comme se demander combien à de berlingots de Mir équivaut un paquet d'Ariel. Ça dépend si c'est de l'ariel concentré ou pas, mais aussi de ce que tu vas laver avec.

    Dans les FPGA il n'y a pas de portes logiques brutes, c'est juste une vague équivalence pour se la péter à celui qui aura la plus grosse.

    C'est fait avec des "Configurable Logic Elements" (CLB) qui contiennent plus ou moins de slices qui contiennent en général quelques LUT (Look Up Table ou mémoire de 1 bit de données x N bits d'adresse), des Mux (multiplexeurs), des Flops, et quelques accessoires genre accélérateur de retenue pour les additions. Et bien sûr des montagnes de câblage pour relier tout ça, avec des switch configurables partout pour router les signaux.

    Voir ici par exemple : http://www.xilinx.com/support/docume...ides/ug384.pdf

    Si tu prends le même code VHDL (ou verilog) et que tu le compiles pour telle ou telle FPGA, il va utiliser plus ou moins de slices et aller plus ou moins vite. Ça dépend du code, et de ce qu'il y a dans les slices. Par exemple si un truc s'implémente avec une LUT à 5 bits d'adresse, et que t'as une FPGA qui a des LUT à 4 bits, il va en utiliser 2... mais la Spartan-6 a des LUT à 6 bits, donc il en faudra moins, sauf si t'avais besoin que de 4 bits dès le départ.

    Idem si t'as besoin de beaucoup de multiplications, choisis en une avec des multiplieurs hard. Quoique la plus petite FPGA de nos jours a déjà une puissance monstrueuse.

    Il faut aussi regarder les IO : tu veux du LVCMOS 3.3V ou autre truc du genre, ou du LVDS, ou plus exotique ? Il faut que la FPGA le supporte. Attention les standards d'IO disponibles sur une banque d'IO dépendent de la tension d'alim de la banque. Si tu as besoin de plusieurs standards il te faudra peut-être plein d'alims. Sauf si c'est que pour des entrées, là c'est différent. Faut lire la doc.

    Idem pour les horloges : combien de fréquences tu va avoir, si t'as besoin de PLL, de multiplieurs, quelle horloge va contrôler quoi, etc.

    Une bonne idée c'est d'implémenter ton machin en simulation, et de regarder ce que ça donne, avant d'acheter le matos. Ça t'évitera de te planter. Les fabricants proposent des outils gratuits pour compiler ton code et simuler.

    Au fait, je préfère largement le verilog au VHDL...

    Sinon concernant les cartes de dév, il y a comme critères :

    - il y a la FPGA que tu veux dessus
    - il y a les IO que tu veux dessus (si t'as un connecteur à 4 broches c'est pas top) (voir remarque plus haut si tu as des IO avec des standards ou tension différents, si le connecteur expose une seule banque et que les alims de la carte ne conviennent pas, c'est mort)
    - l'interface JTAG-USB est intégrée.

    Ce dernier point est important. Sur le JTAG, il y a en général une très longue ligne d'horloge qui se promène un peu partout, donc quand on rajoute un programmateur JTAG indépendant, des câbles, des connecteurs, et qu'on veut que ça se programme vite, ça a tendance à merder. Au moins avec une interface JTAG-USB sur la carte (ce n'est pas un émulateur), ça marche, ou pas, et si ça marche pas, c'est le problème du fournisseur de la carte.
    Dernière modification par bobflux ; 12/09/2014 à 18h11.

  10. #9
    jiherve

    Re : Acquisition d'une carte de développement FPGA

    Bonsoir,
    Ayant une très grande expérience des FPGA Altera et des kits Terasic c'est un bon choix!
    @Bobfuck : le Verilog est au VHDL ce que le C est à ADA , le bricolage face à la rigueur!

    JR
    Dernière modification par jiherve ; 12/09/2014 à 20h17.
    l'électronique c'est pas du vaudou!

  11. #10
    bobflux

    Re : Acquisition d'une carte de développement FPGA

    Citation Envoyé par jiherve Voir le message
    @Bobfuck : le Verilog est au VHDL ce que le C est à ADA , le bricolage face à la rigueur!
    Oui, retaper 4x la même chose est le gage d'un langage bien conçu... Comparer le VHDL et Ada est tout de même une insulte pour Ada ; personnellement je comparerais plutôt le VHDL à Java.

    Pour ce qui est du C versus verilog, c'est juste. Les deux sont pourris, mais "get the job done".

  12. #11
    jiherve

    Re : Acquisition d'une carte de développement FPGA

    Bonjour,
    Le VHDL est un peu verbeux mais si l'on évite la forme canonique qui utilise les déclarations inutiles des components on à une écriture compacte et c'est tout de même plus clair que Verilog enfin c'est mon avis.
    La différence est surtout géographique : Europe VHSL, USA Verilog
    JR
    l'électronique c'est pas du vaudou!

  13. #12
    electro575

    Re : Acquisition d'une carte de développement FPGA

    Merci pour toutes vos précision messieurs. Je vous tiendrais au courant.

  14. #13
    electro575

    Re : Acquisition d'une carte de développement FPGA

    J'ai commandé la DE0 nano mais je n'ai pas encore trouvé le moyen de calculer le nombre de porte.

    Si je trouve une solution je vous tiens au courant.

    Bonne journée

  15. #14
    drbug

    Re : Acquisition d'une carte de développement FPGA

    Bonjour,

    Tu as commandé où ta DE0 ?
    Pour la DE0 il est dit ici :
    http://www.digikey.fr/product-highli...Q15-1t1-VQ16-c
    qu'il y a 15 408 éléments logiques (Cyclone III 3C16).

    J'achète mes cartes FPGA ici : http://www.wvshare.com/
    Pour les Altera ils vont jusqu'au EP4CE10F17C8N
    Au niveau des prix c'est vraiment pas mal.

    Pour comparer les FPGA Altera :
    http://www.altera.com/devices/fpga/c...-overview.html

    Il faut prendre la puce qui correspond à tes besoins.
    Tu vas faire quoi ?
    Parfois ça peut être intéressant d'associer un FPGA à un microcontroleur ARM Cortex M4 ou R4 pour décupler la puissance.

  16. #15
    jonathan82

    Re : Acquisition d'une carte de développement FPGA

    On peut aussi jeter un œil sur les datasheet et autres doc...
    Certaines sont plus clair que d'autres et donne plus de plaisir à la consultation...

    Idem avec l'IDE... Moi, je suis passé sur Altera car ils ont également des CPLD accessible.

    Après, dans la mesure ou ce sont des langage "universel", que tu soit sur l'un ou l'autre, ce sera pareil.

  17. #16
    electro575

    Re : Acquisition d'une carte de développement FPGA

    J'ai commandé ma DE0 nano chez terasic : http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&Ca tegoryNo=139&No=593

    Oui, effectivement ils mentionnent le nombre d'éléments logiques mais pas le nombre de porte logique ex : 100k logic gate comme pour Xilinxs
    Comment le déduire?

    Merci pour ta source, tu as des frais de port supplémentaire lors de l'achat d'une carte par exemple de 40 dollards?

    Hummm, stockage d'une image en mémoire statique, fonction log par exemple et restocker l'image qui découle de la fonction et l'envoyer sur un port VGA
    On a des kit la ou je suis mais je m'en suis tout de même acheté une.

    Merci de vos remarques.

  18. #17
    drbug

    Re : Acquisition d'une carte de développement FPGA

    Tu peux avoir des frais de douanes, qui correspondent en gros à la tva.
    J'avais utilisé FEDEX pour la sécurité et ça m'avait coûté 30$ pour 234$ de matériel.
    Ils te font un devis par email.
    Pour le stockage d'une image c'est pas du lourd normalement.

  19. #18
    bobflux

    Re : Acquisition d'une carte de développement FPGA

    Citation Envoyé par electro575 Voir le message
    Oui, effectivement ils mentionnent le nombre d'éléments logiques mais pas le nombre de porte logique ex : 100k logic gate comme pour Xilinxs
    Comment le déduire?
    Comme dit plus haut le nombre de portes est assez vague (et pas une bonne estimation de ce que le FPGA pourra vraiment faire). Ce n'est pas une mesure très utile. Le rapport post-compilation de ton code qui indique le nombre de ressources effectivement utilisées est bien plus pertinent.

    > stockage d'une image en mémoire statique, fonction log par exemple et restocker l'image qui découle de la fonction et l'envoyer sur un port VGA

    Si tu n'as pas d'autres impératifs (genre resynchroniser l'image ou faire un composite de plusieurs images sur la même), pour ce genre de choses tu n'es même pas obligé de stocker : le calcul peut se faire à la volée entre l'ADC vidéo et le DAC... Et si tu fais un filtre (ex. convolution) qui doit avoir accès à N lignes, tu n'es pas obligé de mémoriser plus.

  20. #19
    electro575

    Re : Acquisition d'une carte de développement FPGA

    Merci pour vos retours. Je vais travailler la dessus mais effectivement tu as raison en ce qui concerne le nombre de porte logique. Le bilan des ressources consommé en terme de bascules et d'opérateurs logiques et bien plus parlant oui.

    Bonne fin de journée à vous

Discussions similaires

  1. Kit développement FPGA
    Par Electromx dans le forum Électronique
    Réponses: 3
    Dernier message: 02/12/2013, 11h32
  2. Développement d'un flow de programmation FPGA
    Par ahilali dans le forum Électronique
    Réponses: 0
    Dernier message: 29/11/2012, 16h35
  3. FPGA Kit de développement
    Par dr4gon993 dans le forum Électronique
    Réponses: 1
    Dernier message: 24/07/2012, 13h54
  4. Réponses: 21
    Dernier message: 04/06/2009, 14h07
  5. Réponses: 3
    Dernier message: 01/05/2008, 17h52
Découvrez nos comparatifs produits sur l'informatique et les technologies.