[Programmation] Problème en VHDL avec les multi fichiers vhdl
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

Problème en VHDL avec les multi fichiers vhdl



  1. #1
    drbug

    Problème en VHDL avec les multi fichiers vhdl


    ------

    Bonjour,

    J'essais de faire fonctionner l'exemple 71 VGA Stripes du livre Digilent Design Using Digilent FPGA Boards sur une NEXYS 2.

    Sur ce source vga_stripes_top.vhd :

    Code:
    library IEEE;
    use IEEE.STD_LOGIC_1164.all;
    
    
    entity vga_stripes_top is
    	port(
    		mclk : in STD_LOGIC;
    		btn : in STD_LOGIC_VECTOR(3 downto 0);
    		hsync : out STD_LOGIC;
    		vsync : out STD_LOGIC;
    		red : out std_logic_vector(2 downto 0);
    		green : out std_logic_vector(2 downto 0);
    		blue : out std_logic_vector(1 downto 0)
    		);
    end vga_stripes_top;
    
    --}} End of automatically maintained section
    
    architecture vga_stripes_top of vga_stripes_top is
    	signal clr, c1k25, vidon: std_logic;
    	signal hc, vc: std_logic_vector(9 downto 0);
    	begin
    		clr <= btn(3);
    		
    Ul : clkdiv
    	port map(
    		mclk => mclk, clr => clr, c1k25 => c1k25);
    
    U2 : vga_640x480
    	port map(
    		clk => clk25, clr => clr, hsync => hsync, veync => vsync, hc => he, vc => vc, vidon => vidon);
    
    U3 : vga_stripes
    	port map(
    		v1don => vidon, be => he, vc => vc, red => red, green => green, blue => blue);  
    
    end vga_stripes_top;
    Il me dit :
    # File: F:\My_Designs\vga_components\v ga_components\src\vga_stripes_ top.vhd
    # Compile Entity "vga_stripes_top"
    # Compile Architecture "vga_stripes_top" of Entity "vga_stripes_top"
    # Error: COMP96_0134: vga_stripes_top.vhd : (26, 6): Cannot find component declaration.
    # Error: COMP96_0134: vga_stripes_top.vhd : (30, 6): Cannot find component declaration.
    # Error: COMP96_0134: vga_stripes_top.vhd : (34, 6): Cannot find component declaration.
    # Compile failure 3 Errors 0 Warnings Analysis time : 0.0 [ms]

    dans le bouquin il y a une ligne :
    use work.vga_components.all;

    qui me génère une erreur si je la mets:
    # Error: COMP96_0055: vga_stripes_top.vhd : (3, 10): Cannot find referenced context element.

    Le projet est bien vga_components
    j'ai bien un fichier vhd par composant clkdiv, vga_640x480 et vga_stripes.
    Je vois bien les fichiers dans la liste associée à la librairie,

    J'utilise Active-HDL pour le projet.

    Ça doit être trivial mais je ne vois pas...

    Je suis preneur d'idées,

    Hervé

    -----
    Dernière modification par Antoane ; 02/02/2016 à 06h59. Motif: Ajout balises code

  2. #2
    jiherve

    Re : Problème en VHDL avec les multi fichiers vhdl

    Bonjour,
    Comme il n'y a aucun modèle pour les composants il faut donc que ce pauvre compilo aille les chercher qqpart:
    donc il faut compiler les components dans une librairy work ou n'importe quoi d'autre et référencer cette librairie par un USE qui va bien.
    a noter que si les modèles étaient présents cela aurait compilé mais à l’exécution il y aurait eu un message du type componentxx: unbound
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    albanxiii
    Modérateur

    Re : Problème en VHDL avec les multi fichiers vhdl

    Bonjour,

    Si j'ai bien compris, vous devez avoir tous ces fichiers. Alors essayez d'instancier de cette façon :

    Code:
    Ul : entity work.clkdiv
      port map
      (
        mclk => mclk, 
        clr => clr, 
        c1k25 => c1k25
      );
    et de compiler avec

    Code:
    acom vga_components.vhd
    acom clkdiv.vhd
    acom vga_640x480.vhd
    acom vga_stripes.vhd
    acom vga_stripes_top.vhd
    puis lancez la simulation.

    @+
    Not only is it not right, it's not even wrong!

Discussions similaires

  1. commande d'ascenseur avec VHDL la carte vhdl
    Par chakib123 dans le forum Électronique
    Réponses: 6
    Dernier message: 02/06/2014, 02h41
  2. filtre fir avec vhdl code
    Par invitec78d7b83 dans le forum Électronique
    Réponses: 7
    Dernier message: 25/01/2013, 20h20
  3. Problème avec package du VHDL
    Par invite25a451cd dans le forum Électronique
    Réponses: 2
    Dernier message: 27/11/2010, 20h29
  4. boucle avec VHDL
    Par invite4c907eb3 dans le forum Électronique
    Réponses: 1
    Dernier message: 01/07/2009, 20h02
  5. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
Découvrez nos comparatifs produits sur l'informatique et les technologies.