[Programmation] Programmation VHDL
Répondre à la discussion
Affichage des résultats 1 à 9 sur 9

Programmation VHDL



  1. #1
    Cotton

    Programmation VHDL


    ------

    Bonsoir à tous,

    Premièrement, j'espère ne pas me tromper de section. Le langage VHDL étant un langage de programmation de composants, je ne sais où est véritablement sa place sur ce forum déjà bien fourni en catégories.


    Je vous explique,

    J'ai, pour un projet, une partie programmation en VHDL à réaliser.

    J'ai un signal entrant qui est constitué d'une suite d'impulsions à 40 kHz (10 au totale) puis d'un temps de repos. Ce motif est répété périodiquement.

    Mon objectif est de ne garder qu'une petite impulsion au début de cette trame, qui peut représenter alors un start de celle-ci.

    Le autres impulsion ne nous intéressent pas.

    Mes professeurs m'ont parlé de "piège à 1" et m'ont expliqué comme le mettre en oeuvre.

    Néanmoins, je n'y arrive pas. Les résultats de simulation (sur ModelSim je précise) ne me conviennent pas.

    Savez vous comment je peux m'y prendre ?

    Lorsque cette suite de 10 impulsions commence, je veux générer une petite impulsion, comme un start, représentant le début de celle-ci.

    Je vous remercie,

    -----

  2. #2
    surfbreizh

    Re : Programmation VHDL

    Bonjour,

    Si je comprends bien, tu veux faire un compteur de 10 qui transmet une impulsion à chaque fois qu'il se remet à zéro ?

  3. #3
    jiherve

    Re : Programmation VHDL

    Bonjour,
    quelques pistes:
    dans le principe si ce sont des impulsions positives état de repos à zéro alors avec une porte et un compteur décimal activé sur front descendant alors c'est facile .
    au démarrage(donc il faut un reset) le compteur vaut zéro, la porte laisse passer la première impulsion ,le compteur passe à un puis deux .... puis 9 la porte bloque puis retour à 0 et replay.
    Bien sur il y a un risque de désynchronisation donc il faut un chien de garde pour détecter le temps mort et reseter tout le bousin.
    Enfin pour être tout à fait propre il faudrait réaliser tout çà à partir d'une horloge rapide (qqs dizaines de Mhz) qui viendrait tout d'abord échantillonner le signal d'entrée et nourrir le reste ensuite .
    Au taf!

    JR
    l'électronique c'est pas du vaudou!

  4. #4
    Cotton

    Re : Programmation VHDL

    Bonjour,

    Merci à vous pour vos réponses.

    Dans mon cas, j'ai un signal entrant qui provient de l'extérieur.

    Il est constitué d'une suite d'impulsions positives puis d'un temps de repos. Ce schéma est répété périodiquement.

    Ce que je veux, c'est générer un start (une impulsion) lorsque je reçois ce signal. Autrement dit, puisqu'il est périodique, j'aurais des impulsions périodiques qui se répètent à chaque début de trame.

    Si cela peut vous aider, je travail sur un emetteur-récepteur ultrasons pour mesurer des distances.

    Du coup, dans mon cas, le signal entrant venant de l'extérieur est mon signal de réception des ultrasons.

    La manipulation que je cherche à faire me permet d'avoir, de ce fait, un marqueur afin de mesurer par les suite des décalages temporels.

  5. A voir en vidéo sur Futura
  6. #5
    Ashura33

    Re : Programmation VHDL

    Bonjour Cotton,

    Les puristes te diront que le VHDL n'est pas un langage de programmation mais de description matérielle. Bon pour être honnête en pratique cela ne change pas grand chose, mais les profs sont très attachés à cette subtilité .
    Je ne suis pas très calé en VHDL, mais ton problème se rapproche d'un anti-rebond, à partir de là je pense à deux solutions.
    Si les suites d'impulsion d'entrée ont toujours la même durée ou sensiblement la même, tu crée un monostable qui restera à l'état haut pendant toute la durée de la suite d'impulsions, tu aura ainsi un front montant unique.
    Si les suites comportent toujours 10 impulsions, tu peux créer une fonction avec un compteur qui n'enverra qu'une impulsion sur 10.
    Voila, j'espère que cela t'aidera.
    Images attachées Images attachées  

  7. #6
    Cotton

    Re : Programmation VHDL

    Dans l'idée, oui, cela peut correspondre.

    Néanmoins, comment puis-je implémenter cela ?

    Je vous remercie pour vos réponses.

    Cotton

  8. #7
    Ashura33

    Re : Programmation VHDL

    Pour être honnête ça fait un moment que j'ai pas fait de VHDL. Néanmoins ce sont des fonctions très simples à réaliser, si tu as les bases tu devrais t'en sortir.

  9. #8
    Cotton

    Re : Programmation VHDL

    J'ai belle et bien des basses en VHDL mais celle-ci ne me permettent pas de résoudre mon problème apparemment. J'ai essayé plusieurs choses mai je n'arrive pas à obtenir le résultat que je veux. Pourtant je sais bien que ce n'est pas bien difficile.

  10. #9
    Ashura33

    Re : Programmation VHDL

    Je te donne les liens de discussions qui pourront sans doute t'éclairer.

    anti-rebond:
    http://forums.futura-sciences.com/el...bond-vhdl.html

    monostable:
    http://forums.futura-sciences.com/el...code-vhdl.html

    Quand on apprend à programmer, il faut être aussi autodidacte. Il est essentiel de savoir trouver les informations qui nous manquent. C'est une excellente façon d'apprendre et ça favorise l'autonomie, une qualité toujours apprécié quel que soit le domaine. Je t'encourage donc a poursuivre tes recherhes sur le sujet que ce soit sur internet ou dans des livres.

Discussions similaires

  1. programmation VHDL
    Par invite577ad53a dans le forum Électronique
    Réponses: 5
    Dernier message: 19/12/2011, 19h35
  2. Programmation vhdl
    Par invite0d5fe536 dans le forum Électronique
    Réponses: 1
    Dernier message: 20/06/2010, 13h06
  3. programmation VHDL
    Par invite41088425 dans le forum Électronique
    Réponses: 5
    Dernier message: 26/04/2010, 19h24
  4. programmation VHDL du VGA
    Par invited6661c5b dans le forum Électronique
    Réponses: 5
    Dernier message: 20/10/2008, 14h59
  5. programmation VHDL
    Par inviteb61639fd dans le forum TPE / TIPE et autres travaux
    Réponses: 0
    Dernier message: 03/03/2008, 16h24
Découvrez nos comparatifs produits sur l'informatique et les technologies.