[VHDL] Compteur Modulo N
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

[VHDL] Compteur Modulo N



  1. #1
    invitef9e6be50

    [VHDL] Compteur Modulo N


    ------

    Bonjours,
    C’est mon premier poste sur ce forum,
    BUT :
    Écrivez l'entité et l'architecture d'un compteur 4 bits modulo taille, taille étant un vecteur d'entrée du compteur. Ce compteur doit posséder une entrée de validation valide à l’état bas, ainsi qu’une entrée de remise a zéro synchrone validé à l’état bas.

    Schéma :
    -----------------------
    CLK  |> | COMPTAGE
    ENABLE | |
    RAZ | |
    | |
    N| |
    ------------------------

    Ce que j ai fait :

    entity compteur is
    generic ( taille : integer := 15 );
    port ( clk : in bit ;
    enable : in bit ;
    raz : in bit;
    N : in bit_vector (3 downto 0) ;
    comptage : out integer range 0 to taille );
    end compteur ;

    architecture comportementale of compteur is
    signal interm : integer range 0 to 15 ;
    begin

    process ( clk )
    begin
    if ( clk'event and clk='1')
    then
    if ( enable ='0' and interm =taille and raz = '1' )
    then interm <= 0 ;
    elsif ( enable = '0'and raz = '1' )
    then interm <= interm +1 ;
    elsif ( raz = '0')
    then interm <= 0 ;
    end if ;
    end if ;
    end process ;

    comptage <= interm ;
    end comportementale ;
    PROBLEME :
    On faite j arrive pas a mettre en place l entrée N
    Merci de bien vouloir m aider SVP.

    -----

  2. #2
    Jack
    Modérateur

    Re : [VHDL] Compteur Modulo N

    Ce n'est pas la littérature qui manque sur ce sujet.

    Tu devrais voir de ce côté:
    http://perso.orange.fr/xcotton/electron/coursetdocs.htm

    rubrique circuits programmables/VHDL

    Tu y trouveras surement un exemple de code pour ton problème car c'est un grand classique.

    A+

  3. #3
    invitef9e6be50

    Re : [VHDL] Compteur Modulo N

    pourrait tu me donner le liens precis car j arrive pas a m'y retrouver -_- dsl

  4. #4
    Jack
    Modérateur

    Re : [VHDL] Compteur Modulo N

    pourrait tu me donner le liens precis car j arrive pas a m'y retrouver -_- dsl
    ???

    Il y a le choix pourtant. En plus, ça te permettrait de revoir ton cours.

    Celui-là par exemple répondra à ton problème.

    ftp://ftp.discip.crdp.ac-caen.fr/dis...Cours/vhdl.pdf

  5. A voir en vidéo sur Futura

Discussions similaires

  1. compteur/decomteur en VHDL
    Par invite0e5af214 dans le forum Électronique
    Réponses: 20
    Dernier message: 28/01/2009, 08h24
  2. modulo
    Par invite84a62bd9 dans le forum Mathématiques du supérieur
    Réponses: 3
    Dernier message: 31/08/2007, 20h47
  3. compteur vhdl
    Par inviteacb3e291 dans le forum Électronique
    Réponses: 1
    Dernier message: 15/11/2006, 10h31
  4. modulo
    Par invite06fa2eb2 dans le forum Mathématiques du supérieur
    Réponses: 10
    Dernier message: 11/08/2006, 08h08
  5. fonction modulo
    Par invite56460777 dans le forum Mathématiques du supérieur
    Réponses: 3
    Dernier message: 06/11/2004, 11h06
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...