multiplieur en vhdl HELP
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

multiplieur en vhdl HELP



  1. #1
    invite940ed57f

    multiplieur en vhdl HELP


    ------

    bonjour a tous.

    je voudrai faire un multplieur en vhdl sur quartus ,mais je ne sais pa comment faire.

    En effet en entrer j'ai un signal de 16 bits (e1: in std_logic_vector(15 downto 0)) et je veu multiplier se signal par 0.5.
    Etle compilateur je pense n'aime pas le 0.5.

    Donc si quelqu'un pourrai m'aider.

    mercie.

    -----

  2. #2
    jiherve

    Re : multiplieur en vhdl HELP

    Bonsoir
    Multiplier par 0,5 cela revient à décaler a droite ta valeur ,
    d'où:
    décalage arithmétique si la valeur est signée
    toto (15 downto 0 )<= (toto(15) & toto (15 downto 1 )) ;

    décalage logique si la valeur est non signée
    toto (15 downto 0 )<= ('0' & toto (15 downto 1 ));

    pour tout autre valeur il faut choisir un format de codage et soit utiliser la macro LPM_MULT en la paramétrant soit faire confiance à QII et écrire c = b*a.
    Dans tous les cas il faudra faire les cadrages à la mano!
    JR

Discussions similaires

  1. multiplieur sans alimentation exterieure ?
    Par divide dans le forum Électronique
    Réponses: 13
    Dernier message: 09/08/2007, 12h37
  2. pll en multiplieur de fréquence
    Par Diator dans le forum Électronique
    Réponses: 15
    Dernier message: 12/07/2007, 09h23
  3. utilisation d un multiplieur
    Par invite8ff492de dans le forum Électronique
    Réponses: 7
    Dernier message: 18/01/2007, 19h18
  4. Wattmetre à base d'aop et multiplieur
    Par alexdog dans le forum Électronique
    Réponses: 15
    Dernier message: 23/02/2006, 11h58
Découvrez nos comparatifs produits sur l'informatique et les technologies.