systeme anti rebond
Répondre à la discussion
Affichage des résultats 1 à 12 sur 12

systeme anti rebond



  1. #1
    invitea2c32a52

    systeme anti rebond


    ------

    salut ! pour mes PPE je dois réaliser un systeme anti rebond que j'ajoute à un contact de capteur déjà installé dans un moteur. cependant le contact du capteur situé dans le moteur ne possède que deux bornes donc je ne peux pas mettre une bascule RS car il faudrait que le contact ait trois bornes. donc avez vous une autre solution ? on m'a parlé d'un système comprenant un condensateur pouvez vous m'expliquer comment il marche ? merci

    -----

  2. #2
    behemerre

    Re : systeme anti rebond

    Salut,
    Pour "le système du condensateur" c'est comme suit :

    Tu relies ton bouton poussoir au +Vdd, et une résistance de 10k vers la masse; ajoute un condensateur de 100 nF en parrallele avec le poussoir.
    Par l'effet de chargement/déchargement du consensateur va générer l'anti-rebond.
    a+
    L'éxpérience de chacun est le trésor de tous

  3. #3
    invitea2c32a52

    Re : systeme anti rebond

    comme ceci ?
    Images attachées Images attachées  

  4. #4
    invite02951125

    Re : systeme anti rebond

    Non. Le condensateur en dérivation sur la résistance et ton contact entre le Vdd et la résistance.
    Ainsi, lorsque le contact est ON, l'info est envoyée à ton compteur et le condensateur se charge instantanément.
    S'il y a des rebonds du contact, le condensateur va maintenir le niveau haut pendant le temps des rebonds. Il ne se déchargera dans la résistance que si on lui en laisse le temps ; c'est-à-dire lorsque le contact est relâché depuis suffisamment longtemps.

  5. A voir en vidéo sur Futura
  6. #5
    behemerre

    Re : systeme anti rebond

    Salut,
    Je ne me suis pas bien exprimé dans mon premier post, alors voila une photo, c'est bien plus "parlant" :


    avec :
    -R=10k
    -C=100nF

    Sinon, ce genre de montage risque de te faire perdre du temps, il y'a des solutions plus "high-tech" (MAX6817 pour n'en citer qu'un seul)
    a+
    Dernière modification par behemerre ; 21/03/2007 à 21h44.
    L'éxpérience de chacun est le trésor de tous

  7. #6
    behemerre

    Re : systeme anti rebond

    Salut,
    c'est encore moi, ne pas tenir compte du schèma de mon post à 22h40 (je ne devrais pas répondre cette heure ! ).
    Le shèmas ne veut rien dire !
    Voici le schèma correct :

    -C = 10µF
    -R = 10k (valeurs modifiables en fonction de la base de temps RC souhaitée)
    Désolé pour la gêne occasionnée !
    a+
    L'éxpérience de chacun est le trésor de tous

  8. #7
    invitea2c32a52

    Re : systeme anti rebond

    merci de votre aide. par contre j'ai déja acheté un condensateur 100nF.ca rend juste la charge et la decharge plus rapide ? donc je peux le laisser au lieu de remettre un de 10 µF non ?

  9. #8
    behemerre

    Re : systeme anti rebond

    Salut,

    Pas de problèmes, 100nF suffisent amplement

    a+
    L'éxpérience de chacun est le trésor de tous

  10. #9
    invitea2c32a52

    Re : systeme anti rebond

    voila j'ai un petit probleme car quand je simule la fermeture du capteur avec un interrupteur ca marche mais seulement quand je vais lentement. Dès que j'ouvre et je ferme plus rapidement l'interrupteur il doit y avoir des rebonds parce que mon système s'arrète avant les 20 impulsions...alors que j'ai suivi votre schéma et j'ai mis un condensateur 100 nF donc comment je peux rectifier ca ? car en réalité le capteur de mon moteur se ferme et s'ouvre très rapidement...dois-je changer mon condensateur ?

  11. #10
    invite37efc499

    Re : systeme anti rebond

    salut
    est _ce _que je peut utilise cette anti rebond avec pic 16F877?

  12. #11
    Tropique

    Re : systeme anti rebond

    Citation Envoyé par sarrora Voir le message
    salut
    est _ce _que je peut utilise cette anti rebond avec pic 16F877?
    Ce serait idiot: tu sais facilement le faire en soft, et ça ne coute aucun composant supplémentaire.
    Pas de complexes: je suis comme toi. Juste mieux.

  13. #12
    invite37efc499

    Re : systeme anti rebond

    s'il vous plais aide moi même par schéma isis pour ma montrée comment et juste l'instruction avec micropascal qui fait l’incrémentation
    moi je fait ça :
    for i=0 to 9 do
    if porta.0=1 then
    portb=i
    désole je suis débitant

    merci pou votre collaboration

Discussions similaires

  1. anti rebond
    Par invite6af9789d dans le forum Électronique
    Réponses: 9
    Dernier message: 02/05/2011, 08h48
  2. anti rebond
    Par invite56f9974a dans le forum Électronique
    Réponses: 7
    Dernier message: 26/07/2007, 13h03
  3. anti rebond
    Par bouly94 dans le forum Électronique
    Réponses: 29
    Dernier message: 17/07/2007, 12h56
  4. filtre anti rebond frequence de coupure?
    Par invite4ce7f495 dans le forum Électronique
    Réponses: 6
    Dernier message: 16/04/2006, 23h38
  5. Anti rebond
    Par zanzeoo dans le forum Électronique
    Réponses: 3
    Dernier message: 07/08/2004, 10h56
Découvrez nos comparatifs produits sur l'informatique et les technologies.