Implémentation d'un code sur FPGA
Répondre à la discussion
Affichage des résultats 1 à 6 sur 6

Implémentation d'un code sur FPGA



  1. #1
    invite232dbe64

    Implémentation d'un code sur FPGA


    ------

    Bonjour à tous,

    J’ai réalisé un projet en VHDL qui doit transmettre des données à mon POWER PC via un GPIO.
    Cependant quand je charge le projet sous Impact avec ISE, la partie VHDL fonctionne mais pas la partie en C (POWER PC sous EDK). Celle-ci est censée m’afficher les valeurs du GPIO avec un simple « PRINTF ».

    Pouvez-vous m’aider ?

    Merci.

    -----

  2. #2
    invite232dbe64

    Re : Implémentation d'un code sur FPGA

    En fait, j'ai l'impression qu'il ne compile que ma partie VHDL en fichier ".bit" et pas ma partie Power-PC. En effet, meme si mon GPIO est mal cablé je devrais voir mon "printf" sous hyperterminal. Elle devrait me donner une valeur quelconque.


    Comment je peux vérifier si mon code C sous EDK est bien compilé avec le VHDL sous ISE ?


    Merci.

  3. #3
    gienas
    Modérateur

    Re : Implémentation d'un code sur FPGA

    Bonsoir persus9 et tout le groupe

    Ceci n'est pas une réponse, mais une préparation, en vue d'un transfert, du forum des projets, où il n'a pas sa place, vers celui d'électronique, peut-être le plus adapté.

    En tête de page, se trouve un épinglé, dont le titre est "A lire PRIORITAIREMENT avant de poster dans ce forum". Sa lecture préalable, t'aurait évité le désagrément d'un déplacement.

  4. #4
    invite426a23a9

    Re : Implémentation d'un code sur FPGA

    Citation Envoyé par persus9 Voir le message
    Bonjour à tous,

    J’ai réalisé un projet en VHDL qui doit transmettre des données à mon POWER PC via un GPIO.
    Cependant quand je charge le projet sous Impact avec ISE, la partie VHDL fonctionne mais pas la partie en C (POWER PC sous EDK). Celle-ci est censée m’afficher les valeurs du GPIO avec un simple « PRINTF ».

    Pouvez-vous m’aider ?

    Merci.
    salut,
    Je travaille en ce moment sur un projet ou il me faut configurer un convertisseur A/N et N/A sur une carte virtex 2 pro.Je ne comprends en ce moment rien sur la datasheet mais il pour l'instant j'ai fait un code vhdl qui fait un CAN sigma delta 18 bits comme celui integre dans la carte et je ne sais pas comment charger le programme dans la carte..... Pourrais tu m'aider? comment charger le programme ds la carte?

  5. A voir en vidéo sur Futura
  6. #5
    invite426a23a9

    Re : Implémentation d'un code sur FPGA

    Citation Envoyé par persus9 Voir le message
    Bonjour à tous,

    J’ai réalisé un projet en VHDL qui doit transmettre des données à mon POWER PC via un GPIO.
    Cependant quand je charge le projet sous Impact avec ISE, la partie VHDL fonctionne mais pas la partie en C (POWER PC sous EDK). Celle-ci est censée m’afficher les valeurs du GPIO avec un simple « PRINTF ».

    Pouvez-vous m’aider ?

    Merci.
    re......
    N'y a t-il pas moyen de configurer les registres du codec de la carte pour avoir le mode CAN ou CNA? qui s'y connait en fpga virtex 2 pro

  7. #6
    jiherve

    Re : Implémentation d'un code sur FPGA

    Citation Envoyé par le_sangoku Voir le message
    salut,
    Je travaille en ce moment sur un projet ou il me faut configurer un convertisseur A/N et N/A sur une carte virtex 2 pro.Je ne comprends en ce moment rien sur la datasheet mais il pour l'instant j'ai fait un code vhdl qui fait un CAN sigma delta 18 bits comme celui integre dans la carte et je ne sais pas comment charger le programme dans la carte..... Pourrais tu m'aider? comment charger le programme ds la carte?
    Bonjour
    Le code VHDL est il synthétisable ?
    Quel support hardware pour la partie analogique (incontournable) du convertisseur?
    Pour le chargement du code (après synthèse, fitting etc etc) il doit y avoir un bidule JTAG ou équivalent.
    Une question le_sangoku :es tu stagiaire?
    JR

Discussions similaires

  1. Débuter sur FPGA (matériel, soft...)??
    Par fun_olivier dans le forum Électronique
    Réponses: 14
    Dernier message: 07/04/2012, 13h44
  2. implémentation du code manchester
    Par invite5d21f3b2 dans le forum Électronique
    Réponses: 1
    Dernier message: 23/06/2007, 11h23
  3. envoie d'un signal a partir du FPGA
    Par invite85cbfcba dans le forum Électronique
    Réponses: 4
    Dernier message: 31/05/2007, 15h52
  4. Implémentation d'un code sur FPGA
    Par invite232dbe64 dans le forum Électronique
    Réponses: 0
    Dernier message: 23/04/2007, 15h51
  5. traitement du signal sur FPGA
    Par invitea3a83812 dans le forum Technologies
    Réponses: 0
    Dernier message: 15/07/2004, 07h48
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...