Vhdl
Répondre à la discussion
Affichage des résultats 1 à 7 sur 7

Vhdl



  1. #1
    invite8bb2785b

    Vhdl


    ------

    salut, j'ai fais un programme vhdl :
    if r_w0 = '1' then
    sorrout0 <= entrout0;
    addout0 <= addin0;

    else
    addout0 <= addin0;
    end if;


    le probleme est que si r_w0 =0 l'instuction 'sorrout0 <= entrout0' n'est pas verifiée et c'est ce qui est demandé mais si je mets r_w0 à 1 puis à 0 l'instruction 'sorrout0 <= entrout0' reste toujours verifiée.ou est le probleme ?est ce qu'il y a une solution?

    -----

  2. #2
    sdec25

    Re : Vhdl

    Salut.
    sorrout0 garde sa dernière valeur si on ne le change pas.
    Si tu veux que 'sorrout0 <= entrout0' ne soit jamais vérifié, il faut écrire 'sorrout0 <= not entrout0'

  3. #3
    jiherve

    Re : Vhdl

    Citation Envoyé par sdec25 Voir le message
    Salut.
    sorrout0 garde sa dernière valeur si on ne le change pas.
    Si tu veux que 'sorrout0 <= entrout0' ne soit jamais vérifié, il faut écrire 'sorrout0 <= not entrout0'
    Bonsoir
    C'est faux mais passons!
    if r_w0 = '1' then
    sorrout0 <= entrout0;
    addout0 <= addin0;

    else
    addout0 <= addin0;
    end if;
    Il est écrit que pour avoir sorrout0 <= entrout0 il faut que r_w0 = '1' donc il n'est pas étonnant que cela ne fonctionne pas si r_w0 = '0' c'est tout de même de la logique élémentaire , une porte ouverte n'est pas fermée!
    Par ailleurs comme il n'y a pas d'horloge cette fonction est un latch ce qui est à proscrire.
    Quel est le libellé exact du problème?
    JR
    l'électronique c'est pas du vaudou!

  4. #4
    invitea09d71c0

    Re : Vhdl

    si si c'est correcte
    (au niveau de la syntaxe je sais pas mais l'idée est là)

    si r_w0 = 1 alors
    l'instruction est vérifiée donc sorrout = entout

    si r_w0 = 0 alors
    l'instruction n'est pas vérifiée donc sorrout != entout

    quand r_w0 = 1 alors sorrout = entout donc (par exemple)
    entout = sorrout = 1
    et quand r_w0 = 0 alors
    sorrout = 1 et entout = (sa dépend 0 ou 1 ) donc a moitié vérifié

    Et le problème c'est qu'il manque une ligne dans ton ELSE
    comme te la dit le doubiste
    rajoute sorrout0 <= not entrout0 dans le ELSE

    si tu est pas sur fait une machine d'état t'es sur de pas te tromper !

  5. A voir en vidéo sur Futura
  6. #5
    jiherve

    Re : Vhdl

    Bonsoir
    C'est vraiment n'importe quoi!
    en vhdl si on écrit:

    if toto = tata then
    titi <= tutu;
    else
    kaka <= pipi;
    end if;

    cela signifie que l'affectation de la valeur "tutu" à la variable/signal "titi" ne se produit que si "toto" est égal à "tata" dans tous les autres cas il ne se passe rien pour cette variable/signal!
    cette structure correspond en asynchrone à un latch , en synchrone à une bascule avec enable.
    c'est équivalent à :

    if toto = tata then
    titi <= tutu;
    end if;

    if toto /= tata then
    kaka <= pipi;
    end if;

    pour faire ce que tu écris il faut avoir:

    if toto = tata then
    titi <= tutu;
    else
    titi <= not tutu;
    kaka <= pipi;
    end if;

    Toute autre interprétation est erronée!
    JR
    l'électronique c'est pas du vaudou!

  7. #6
    sdec25

    Re : Vhdl

    Citation Envoyé par jiherve Voir le message
    C'est faux mais passons!

    Il est écrit que pour avoir sorrout0 <= entrout0 il faut que r_w0 = '1' donc il n'est pas étonnant que cela ne fonctionne pas si r_w0 = '0'
    rebonsoir,
    Qu'est-ce qui est faux ? Le "Salut", la 2ème phrase ou la 3ème ? Pour la 3ème ok, je pense que ramzitligue s'étonnait que sorrout0 = entrout0 mais c'est vrai que sorrout0 = entrout0 n'implique pas sorrout0 <= entrout0, et "sorrout0 pas <= entrout0" n'implique pas "sorrout0 = ! entrout0"

  8. #7
    jiherve

    Re : Vhdl

    Bonsoir
    le signe <= signifie "reçoit" pas "egale" donc la non vérification de tutu <= titi n'est pas tutu <= !titi mais tutu <= tutu !
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  2. vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 12
    Dernier message: 09/12/2007, 14h29
  3. vhdl
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 5
    Dernier message: 29/03/2007, 19h52
  4. vhdl
    Par invite4add737e dans le forum Électronique
    Réponses: 0
    Dernier message: 16/11/2006, 23h41
Découvrez nos comparatifs produits sur l'informatique et les technologies.