aidez moi SVP
Répondre à la discussion
Affichage des résultats 1 à 9 sur 9

aidez moi SVP



  1. #1
    invite369d2cfd

    aidez moi SVP


    ------

    SVP je veux un code avec VHDL pour remlir une matrice à chaque cout d'horloge, elle prend une entrée
    j'ai tapé ce code:
    Code:
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_arith.all;
    use ieee.std_logic_unsigned.all;
    use ieee.std_logic_signed.all;
    use ieee.numeric_std.all;
     
    use work.type_pkg.all;
    use work.type_matx.all;
    
    entity matrice is
      
    port (
      matx:in matrix;
      a:in bit;
      b:out bit;
      clk:in std_logic
      );
     end  matrice;
     
    architecture arch_matrice of matrice is
      signal i,j:integer:=2;
      signal mat:matrix;
      signal tab:tabx;
      signal aa:bit;  
    begin 
     process(mat,clk)
       begin
    
      for i in 0 to 2 loop 
        for j in 0 to 2 loop
      
        if (clk'event and clk='1')then
        
        mat(i,j)<=a ;
      
        end if;
      i<=i+1;
       end loop;
    end loop;
    
    end process;
    end arch_matrice;

    -----
    Dernière modification par JPL ; 01/05/2012 à 15h02. Motif: Ajout de la balise Code pour garder l'indentation

  2. #2
    JPL
    Responsable des forums

    Re : aidez moi SVP

    Généralement un titre comme Aidez-moi, Urgent, etc. est la meilleure façon de ne pas être aidé. Suggère un autre titre et je ferai la modification. Rappel de la charte du forum :

    Les titres des messages doivent être explicites.
    Rien ne sert de penser, il faut réfléchir avant - Pierre Dac

  3. #3
    JPL
    Responsable des forums

    Re : aidez moi SVP

    En application de ceci : http://forums.futura-sciences.com/pr...eau-forum.html demande transférée en Électronique.
    Rien ne sert de penser, il faut réfléchir avant - Pierre Dac

  4. #4
    invite369d2cfd

    Re : code vhdl

    en exécutant ce code j'arrive pas à remlpir la matrice à chaque cout d'horloge par une nouvelle valeure

    Code:
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_arith.all;
    use ieee.std_logic_unsigned.all;
    use ieee.std_logic_signed.all;
    use ieee.numeric_std.all;
     
    use work.type_pkg.all;
    use work.type_matx.all;
    
    entity matrice is
      
    port (
      matx:in matrix;
      a:in bit;
      b:out bit;
      clk:in std_logic
      );
     end  matrice;
     
    architecture arch_matrice of matrice is
      signal i,j:integer:=2;
      signal mat:matrix;
      signal tab:tabx;
      signal aa:bit;  
    begin 
     process(mat,clk)
       begin
    
      for i in 0 to 2 loop 
        for j in 0 to 2 loop
      
        if (clk'event and clk='1')then
        
        mat(i,j)<=a ;
      
        end if;
      i<=i+1;
       end loop;
    end loop;
    
    end process;
    end arch_matrice;
    Dernière modification par JPL ; 01/05/2012 à 16h13. Motif: Ajout de la balise Code pour garder l'indentation

  5. A voir en vidéo sur Futura
  6. #5
    JPL
    Responsable des forums

    Re : aidez moi SVP

    Merci de bien vouloir utiliser la balise Code.
    Rien ne sert de penser, il faut réfléchir avant - Pierre Dac

  7. #6
    invite369d2cfd

    Re : aidez moi SVP

    comment ça se fait c'est un code VHDL

  8. #7
    JPL
    Responsable des forums

    Re : aidez moi SVP

    Oui, et alors ?
    Rien ne sert de penser, il faut réfléchir avant - Pierre Dac

  9. #8
    invite369d2cfd

    Re : aidez moi SVP

    Code:
    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_arith.all;
    use ieee.std_logic_unsigned.all;
    use ieee.std_logic_signed.all;
    use ieee.numeric_std.all;
     
    use work.type_pkg.all;
    use work.type_matx.all;
    
    entity matrice is
      
    port (
      matx:in matrix;
      a:in bit;
      b:out bit;
      clk:in std_logic
      );
     end  matrice;
     
    architecture arch_matrice of matrice is
      signal i,j:integer:=2;
      signal mat:matrix;
      signal tab:tabx;
      signal aa:bit;  
    begin 
     process(mat,clk)
       begin
    
      for i in 0 to 2 loop 
        for j in 0 to 2 loop
      
        if (clk'event and clk='1')then
        
        mat(i,j)<=a ;
      
        end if;
      i<=i+1;
       end loop;
    end loop;
    
    end process;
    end arch_matrice;
    Les balises utilisent des crochets droits. Correction faite.
    Dernière modification par JPL ; 01/05/2012 à 17h29.

  10. #9
    invite369d2cfd

    Re : matrice en VHDL

    Bonsoir, je veux faire un programme avec VHDL qui fait le transposé d'une matrice( les lignes deviennent les colonnes)
    SVP comment je peux le faire.
    merci d'avance

Discussions similaires

  1. aidez moi svp
    Par invite45f9c2b5 dans le forum Matériel - Hardware
    Réponses: 1
    Dernier message: 07/05/2009, 20h12
  2. aidez-moi svp
    Par invitee9c3d378 dans le forum Électronique
    Réponses: 4
    Dernier message: 05/01/2009, 19h25
  3. SVP aidez moi...
    Par invitead402682 dans le forum Mathématiques du collège et du lycée
    Réponses: 1
    Dernier message: 14/04/2007, 18h08
  4. aidez moi svp
    Par invitea652e914 dans le forum Chimie
    Réponses: 9
    Dernier message: 29/10/2004, 23h07
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...