[Programmation] Réaliser des filtres sous VHDL ( Spartan II) - Page 2
Répondre à la discussion
Page 2 sur 2 PremièrePremière 2
Affichage des résultats 31 à 32 sur 32

Réaliser des filtres sous VHDL ( Spartan II)



  1. #31
    lou_ibmix_xi

    Re : Réaliser des filtres sous VHDL ( Spartan II)


    ------

    Citation Envoyé par jiherve Voir le message
    Bonjour,
    Précision : les fréquences de coupure dépendent des coefficient ET surtout de la fréquence échantillonnage/traitement.
    JR
    Effectivement, les coefficients sont calculés pour une fréquence de coupure "normalisée", c'est à dire que la fréquence max est 1 (ou 0.5, suivant la littérature), ce qui corresponds à la demie fréquence d'échantillonnage. Donc un filtre numérique corresponds à une "famille" de filtres "physiques" dont les fréquences de coupure = la fréquence normalisé * les fréquences d'échantillonnages utilisées.
    C'est explicite avec la fonction type butter de MATLAB où la fréquence de coupure est donnée en fréquence normalisée.

    -----

  2. #32
    micka_ch

    Re : Réaliser des filtres sous VHDL ( Spartan II)

    Bonjour,

    Dans le cas ou tu as les coefficients du filtre tu dois retrouver la fonction de transfer discrète, dans ton cas :
    H(z)=2/(2-z^1)
    On trouve la réponse fréquentielle en remplacant z par exp(j*w*Ts) : H(jw)=2/(2-exp(-jw*Ts))
    Le module de H(jw) nous donne le gain en fonction de la pulsation : G(w)=|H(jw)|=2/sqrt(5-4cos(w*Ts))
    La fréquence de coupure est quand G(0)/G(wc)=sqrt(2), donc 2/sqrt(2)=2/sqrt(5-4cos(wc*Ts)) ce qui donne :

    wc = ArcCos(3/4)/Ts et donc fc = ArcCos(3/4)/(2*pi*Ts)

    Salutations

Page 2 sur 2 PremièrePremière 2

Discussions similaires

  1. code VHDL à propos le VGA sur la carte Spartan-3
    Par invite8c6f844d dans le forum Électronique
    Réponses: 24
    Dernier message: 24/05/2013, 16h34
  2. Réaliser une PWM en VHDL
    Par invite951da887 dans le forum Électronique
    Réponses: 0
    Dernier message: 18/03/2012, 10h16
  3. Réponses: 2
    Dernier message: 19/11/2011, 20h56
  4. filtres sous scilab
    Par invite671edb04 dans le forum Électronique
    Réponses: 2
    Dernier message: 04/10/2010, 08h15
  5. Réaliser une PWM en VHDL
    Par inviteba7165a5 dans le forum Électronique
    Réponses: 1
    Dernier message: 22/04/2008, 21h15
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...