bonjour à tous
je travaille sur quartus II web edition afin de programmer une carte FPGA d'ALTERA et quand je simule le programme j'ai du mal à visualiser toute la simulation c'est limité a 999 ns