Aide pour compteur en VHDL
Répondre à la discussion
Affichage des résultats 1 à 13 sur 13

Aide pour compteur en VHDL



  1. #1
    inviteca665004

    Aide pour compteur en VHDL


    ------

    Bonjour a tous

    Voila je dois faire en VHDL un fréquencemètre.

    Pour le moment tout se déroule bien, jusqu'à la fin du programme ou je suis complètement bloqué.

    J'ai fait mon projet en schématique, plus abordable a mon avis. J'obtiens donc à la sortie un vecteur de 32 bits contenant la fréquence que je dois mesurer. Je dois ensuite afficher cette fréquence sur 4 afficheurs ( ce sont des afficheurs 7 segments.)

    Voila à quoi je devrais arriver au final mais que je n'arrive pas à faire.
    http://img211.imageshack.us/img211/9576/imagevl7.jpg

    Par exemple, j'obtiens la fréquence de 275 dans mon vecteur de 32 bits, je dois donc afficher cette fréquence de la façon :
    Afficheur HEX0 : 5
    Afficheur HEX1 : 7
    Afficheur HEX2 : 2
    Afficheur HEX3 : 0

    Mon vecteur de 32 bits pourrait être réduit, car les 16 premiers bits ne sont composés que par des "0".

    Je demande si quelqu'un pourrait m'aider pour réaliser mon code VHDL car je dois le rendre demain soir , et cela fait 1 semaine que j'essaye de le realiser, sans succes

    Dans l'espoir que quelqu'un puisse m'aider

    Merci bcp d'avance.

    -----

  2. #2
    pseudoblogeus

    Re : Aide pour compteur en VHDL

    Bonjour,

    Tout d'abord, tu ne risques pas d'avoir bcp de réponse en posant le problème de la sorte vu qu'en relisant 2 fois ton post je ne sais toujours pas quel est ton problème.

    Je veux bien t'aider, mais pour l'instant je ne vois même pas l'ombre d'une question ...

    Ensuite, à mon avis, le schematic, c'est de la m.e.r.d.e. ...
    Le VHDL c'est quand même pas compliqué, et ça sera beaucoup plus simple pour t'aider car standardisé, alors que le schematic c'est propriétaire. Bref...

    Je suis prêt à t'aider, mais à condition que tu exposes clairement ton problème et que tu fasses ton projet en VHDL.

    A+

  3. #3
    inviteca665004

    Re : Aide pour compteur en VHDL

    Merci de m'avoir repondu

    Mon probleme, c'est que je n'arrive pas a afficher la valeur sur mes 4 afficheurs

    J'ai un vecteur de 32 bits qui contient une fréquence en binaire, et je souhaite afficher cette valeur sur 4 afficheurs ( afficheur 7 segments)

    Je pourrais donc afficher des fréquences entre 1hz (0001) et 9999hz (9999) mais je dois me limiter à 1000.

    Pour mon sujet, j'utilise Quartus II, donc pour faire mon schema, j'ai utilisé des blocs que j'ai modifié avec MegaWizard Plug-in, j'aurais pas reussit a les faire en vhdl

  4. #4
    pseudoblogeus

    Re : Aide pour compteur en VHDL

    Le problème c'est que tu peux avoir 10000 sources d'erreurs possibles.

    ton afficheur est peut être en cause
    ton FPGA est peut être en cause
    ton firmware est peut être en cause

    Bref, y a plein de possibilités.

    Si tu veux qu'on t'aide, il faut décrire précisément ce que tu fais et ce que ça donne.

    Est-ce que ton afficheur s'allume ?
    Est ce que tu as fait des simulations de ton VHDL ?
    Est-ce que que tu as un analyseur pour voir ce qui se passe dans ton firmware (comme chipscope pour XilinX ... je ne connais pas pour altera) ?

  5. A voir en vidéo sur Futura
  6. #5
    inviteca665004

    Re : Aide pour compteur en VHDL

    Mon afficheur s'affiche
    J'ai fait des simulations, tout va bien, j'ai bien ma frequence

    Mais ce que j'arrive a pas a faire, c'est le code vhdl pour afficher la valeur ma frequence sur mes afficheurs, c'est un mutliplexeur ou un convertisseur bcd que je dois faire il me semble, mais j'arrive pas a le faire

  7. #6
    pseudoblogeus

    Re : Aide pour compteur en VHDL

    Donc tu cherches de l'aide pour faire le bloc bin_to_bcd de ta figure ? Oui le principe c'est un multiplexeur. Mais y a des chances pour que tu doives aussi faire une conversion binaire décimal pour avoir la valeur des chiffres a afficher.

    Un truc en passant ? t'as pas d'horloge qui cadence tes blocs ? Tu fais tout en combinatoire ?

  8. #7
    inviteca665004

    Re : Aide pour compteur en VHDL

    Ouai je cherche a faire le bloc bin_to_bcd.

    Ba j'ai une horloge pour faire mon compteur et ma memoire, cette horloge est ma frequence d'entrée. Apres le bloc pour faire la convertion et obtenir ma frequence n'est pas fait avec une horloge

  9. #8
    jiherve

    Re : Aide pour compteur en VHDL

    Citation Envoyé par Showyouken Voir le message
    Ouai je cherche a faire le bloc bin_to_bcd.

    Ba j'ai une horloge pour faire mon compteur et ma memoire, cette horloge est ma frequence d'entrée. Apres le bloc pour faire la convertion et obtenir ma frequence n'est pas fait avec une horloge
    Bonsoir
    c'est faisable en combinatoire mais avec beaucoup de mauvaise surprises à la clef.
    Pour binaire BCD s'inspirer du 74184/74185 :
    http://pdf1.alldatasheet.com/datashe.../TI/74185.html
    ensuite BCD to 7 segment
    voir 7446/7447
    Il vaudrait mieux une horloge de servitude.
    JR

  10. #9
    inviteca665004

    Re : Aide pour compteur en VHDL

    Ba du BCD to 7 segments, y'a pas de pb, je sais le faire sa

    Par contre, pour le binaire to bcd, j'ai un peu de mal la avec la datasheet. Il existerait pas des code VHDL deja fait pour realiser cette fonction ?

    Merci a vous

  11. #10
    jiherve

    Re : Aide pour compteur en VHDL

    Bonsoir again
    avec les table de vérité et un CASE de derrières les fagots cela devrait le faire!
    JR

  12. #11
    inviteca665004

    Re : Aide pour compteur en VHDL

    CASE de derrières les fagots
    Quoi toi dire ?
    Je fais un peu de VHDL que depuis tres peu de temps donc chaud pour moi ^^

  13. #12
    jiherve

    Re : Aide pour compteur en VHDL


  14. #13
    inviteca665004

    Re : Aide pour compteur en VHDL

    Aie de l'anglais ^^ lol
    Je vais essayer de comprendre pour faire mon programme

    J'avais essayé de faire qqch, je l'ai simulé, mais sa marche pas.
    Si je te montre ce que j'ai fait, tu saurais a tout hasard deceler une erreur ? ^^

    Merci

Discussions similaires

  1. compteur/decomteur en VHDL
    Par cherwam07 dans le forum Électronique
    Réponses: 20
    Dernier message: 28/01/2009, 08h24
  2. Aide sur compteur d'electricite
    Par invitef7fba937 dans le forum Électronique
    Réponses: 1
    Dernier message: 06/10/2007, 07h04
  3. Cherche aide pour programme vhdl
    Par invite777b7619 dans le forum Électronique
    Réponses: 2
    Dernier message: 26/02/2007, 19h16
  4. [VHDL] Compteur Modulo N
    Par invitef9e6be50 dans le forum Électronique
    Réponses: 3
    Dernier message: 26/01/2007, 19h53
  5. compteur vhdl
    Par inviteacb3e291 dans le forum Électronique
    Réponses: 1
    Dernier message: 15/11/2006, 10h31
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...