signal carré à 125KHz sur pic16F877A
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

signal carré à 125KHz sur pic16F877A



  1. #1
    invite9ae0490f

    signal carré à 125KHz sur pic16F877A


    ------

    Bonjour,

    Je cherche à programmer un pic16F877A pour générer des signaux carrés à 125KHZ. Ces signaux doivent commander les 4 transistors mos d'un pont en H.
    N'étant pas un spécialiste en programmation d'uc j'ai essayé de procédé de la manière suivante.
    Code c:

    #if defined(__PCM__)
    #include <16F877A.h>
    #fuses HS,NOWDT,NOPROTECT,NOLVP
    #use delay(clock=20000000)
    void main(void)
    {

    while( TRUE )
    {

    output_high(PIN_C1);
    output_low(PIN_C2);
    delay_us(1);
    output_high(PIN_B1);
    output_low(PIN_B2);
    delay_us(2);
    output_low(PIN_B1);
    output_high(PIN_B2);
    delay_us(1);
    output_low(PIN_C1);
    output_high(PIN_C2);
    delay_us(4);
    }
    }

    De cette manière j'arrive à générer 4 signaux de 60KHz au maximum. On m'a dit qu'en utilisant des timers et des interruptions je peux faire mieux. Mais comment???

    -----

  2. #2
    chrisric

    Re : signal carré à 125KHz sur pic16F877A

    bonjour,
    vous devez certainement faire varier la vitesse du moteur. Dans ce cas, vous pouvez utiliser la fonction PWM proposée par ce PIC. Elle utilise le timer 2. Celui-ci fournit une période de référence et donc une fréquence F = 1/T avec F sous-multiple de la fréquence du quartz. Ce sous-multiple est programmable dans le registre T2CON. Pour définir le rapport cyclique, il faut mettre le registre CCP1CON en mode PWM, et charger une valeur programmable dans le registre CCPR1L.
    Les détails sont donnés en anglais dans la datasheet du PIC (site Microchip). Il est bon de se frotter aux difficultés pour mieux saisir ce qui se passe.

    Bon courage.

Discussions similaires

  1. transformer un signal carré en signal continue
    Par invite2377531f dans le forum Électronique
    Réponses: 11
    Dernier message: 19/05/2008, 09h30
  2. filtre passe bande sur signal carré
    Par invite6b249c7c dans le forum Électronique
    Réponses: 6
    Dernier message: 30/08/2007, 20h24
  3. Transformer un signal continu en signal carré
    Par invite4941ea49 dans le forum Électronique
    Réponses: 12
    Dernier message: 14/05/2007, 17h52
  4. Générer un signal carré 500hz avec flowcode sur pic 16f84
    Par invitef27eb29a dans le forum Électronique
    Réponses: 2
    Dernier message: 09/02/2007, 20h25
  5. Signal continue vers signal sinusoidale carré
    Par invite0cebd2e1 dans le forum Électronique
    Réponses: 9
    Dernier message: 11/05/2006, 17h01
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...