FPGA, comprehension
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

FPGA, comprehension



  1. #1
    elec_cup
    Invité

    FPGA, comprehension


    ------

    LIBRARY IEEE ;
    USE IEEE.STD_LOGIC_1164.ALL ;

    ENTITY PorteET IS
    PORT (
    entree1 : INOUT Std_Logic ;
    entree2 : INOUT Std_Logic ;
    sortie : OUT Std_Logic
    ) ;
    END PorteET ;

    -------------------------------------


    LIBRARY IEEE ;
    USE IEEE.STD_LOGIC_1164.ALL ;

    ARCHITECTURE Comportementale OF PorteET IS
    BEGIN
    ProcessPorteET : PROCESS (entree1, entree2)
    BEGIN
    sortie <= entree1 AND entree2 AFTER 1 ns;
    END PROCESS ProcessPorteET ;
    END Comportementale ;

    -------------------------------------


    LIBRARY IEEE;
    USE IEEE.STD_LOGIC_1164.ALL;

    ENTITY TestPorteET IS
    END TestPorteET ;

    ARCHITECTURE Test OF TestPorteET IS

    COMPONENT PorteET
    PORT (
    entree1 : IN Std_Logic ;
    entree2 : IN Std_Logic ;
    sortie : OUT Std_Logic
    ) ;
    END COMPONENT;

    SIGNAL s_entree1 : Std_Logic := ’0’;
    SIGNAL s_entree2 : Std_Logic := ’0’;
    SIGNAL s_sortie : Std_Logic := ’Z’;

    BEGIN
    porte : PorteET
    PORT MAP (s_entree1, s_entree2, s_sortie);
    ProcessSimulation : PROCESS
    BEGIN
    WAIT FOR 10 ns;
    s_entree1 <= ’0’;
    s_entree2 <= ’0’;
    WAIT FOR 10 ns;
    s_entree1 <= ’0’;
    s_entree2 <= ’1’;
    WAIT FOR 10 ns;
    s_entree1 <= ’1’;
    s_entree2 <= ’1’;
    WAIT FOR 10 ns;
    s_entree1 <= ’1’;
    s_entree2 <= ’0’;
    WAIT FOR 10 ns;
    s_entree1 <= ’0’;
    s_entree2 <= ’0’;
    WAIT FOR 10 ns;
    WAIT ;
    END PROCESS ProcessSimulation ;
    END Test;

    Pourriez-vous m'expliquer la fonction de PORT MAP et de SIGNAL ? A quoi servent-ils exactement ? Que définissent-t-ils ?
    Pourquoi créer les signaux s_entree1, s_entree2, s_entree3 ?
    Comment ces signaux s'associent-ils, par exemple pour s_entree1 à entree1 ? Y a-t-il une relation ?

    -----

  2. #2
    stefjm

    Re : FPGA, comprehension

    Bonsoir,
    Vous voulez un cours de VHDL?

    port map : instanciation d"un composant
    signal : déclaration d'un signal d'entrée/sortie ou interne
    s_entree1 : signal interne de haut niveau défini dans l'architecture Test.
    entree1 : signal d'entrée de bas niveau du composant instancié définit dans l'entité PorteET.

    La connexion se fait grâce au port map.

    Je préfère en général faire la connexion explicitement :

    porte : PorteET
    port map (entree1=>s_entree1,...)

    C'est un peu dommage d'avoir des noms de haut niveau presque identique à ceux de bas niveau : Cela cache un peu l'intérêt de l'instanciation.
    On aurait aussi pu mettre le même nom car les signaux ne sont pas au même niveau de description.

    Cordialement.
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

Discussions similaires

  1. Fpga
    Par abdeldjabar dans le forum Électronique
    Réponses: 0
    Dernier message: 14/03/2010, 22h58
  2. Fpga
    Par invitecbaaa007 dans le forum Électronique
    Réponses: 1
    Dernier message: 03/06/2009, 18h40
  3. Fpga
    Par invite170c50c2 dans le forum Électronique
    Réponses: 1
    Dernier message: 24/03/2009, 10h34
  4. Fpga
    Par inviteefcfb65e dans le forum Électronique
    Réponses: 2
    Dernier message: 22/05/2008, 14h02
  5. FPGA
    Par invite9bb6dee7 dans le forum Électronique
    Réponses: 3
    Dernier message: 28/10/2003, 20h43
Découvrez nos comparatifs produits sur l'informatique et les technologies.