[Programmation] description analogique et numerique
Répondre à la discussion
Affichage des résultats 1 à 11 sur 11

description analogique et numerique



  1. #1
    mahfah

    description analogique et numerique


    ------

    Bonjour tout le monde .

    je travaille sur projet " description en language VHDL-AMS d'une PLL synthétiseur de phase N-integer"

    je dois utiliser un diviseur programmable , je ne sais pas comment fonctionne un tell diviseur et en plus son programme

    s'il vous plait si quelqu'un peut m'aider ou me diriger vers un site où pourrais je avoir plus d'info !


    merci d'avance

    -----

  2. #2
    Flyingbike
    Modérateur*

    Re : description analogique et numerique

    Déplacé depuis le forum Nouvelle version du forum : bugs et feedback.
    Flyingbike pour la modération.
    La vie trouve toujours un chemin

  3. #3
    albanxiii
    Modérateur

    Re : description analogique et numerique

    Bonjour,

    Vous ne savez pas comment faire un diviseur de fréquence en VHDL ? C'est assez mal parti pour la PLL entière alors... Il faut apprendre les bases en premier.

    En cherchant un peu avec les bons mots clés ("clock divider vhdl" par exemple) on trouve des exemples, comme : https://allaboutfpga.com/vhdl-code-for-clock-divider/ que je vous laisse étudier. Vous avez même le testbench pour faire la simulation.
    Not only is it not right, it's not even wrong!

  4. #4
    mahfah

    Re : description analogique et numerique

    bonjour albanxiii ,
    merci pour la reponse .

    je sais deja réaliser un diviseur simple , mais pour le diviseur programmable je ne sais pas


    en plus je parle du vhdl-ams et non vhdl


    la dite pll utilise deux diviseur de frequence l'un statique et l'autre programmable

  5. A voir en vidéo sur Futura
  6. #5
    Jack
    Modérateur

    Re : description analogique et numerique

    Redéplacé dans le forum Electronique car il s'agit principalement d'un problème de conception électronique et pas informatique.

  7. #6
    jiherve

    Re : description analogique et numerique

    bonjour
    pour de la logique pure le VHDL_AMS c'est du VHDL, un diviseur programmable c'est le plus souvent un décompteur dont on peut contrôler la valeur de chargement d'où le "programmable".
    Pour le reste c'est élémentaire.
    JR
    l'électronique c'est pas du vaudou!

  8. #7
    mahfah

    Re : description analogique et numerique

    Bonjour jiherve;

    vous affirmez que vhdl-ams c'est du vhdl oui certes je suis d'accord mais le vhdl-ams est utilisé pour les systèmes mixte

    du coup les composant electrical et terminaux sont necessaires pour lier les bloc

    ca c'est qui pose le problem

    sinon si c'est le cas de vhdl , il sufi juste d'ajout un deuxieume conteur qui permet de paramétrer la partie programmable


    merci cas meme d'avoir pris votre temps en me répondant

  9. #8
    jiherve

    Re : description analogique et numerique

    re,
    oui en effet c'est tout mème très différent ,j'ai parcouru un tutorial en diagonale , ce langage à l'air jouissif et en plus j'ai trouvé çà:http://www.pldworld.com/_hdl/1/www.i...h/vhdlamsb.htm
    Voir 4.5.
    JR
    l'électronique c'est pas du vaudou!

  10. #9
    mahfah

    Re : description analogique et numerique

    Merci jiherve ,

    mais voici un que j'ai réalisé moi aussi ; ca compile cas meme mais je ne sais pas si ca va répondre à ce que cherche pour le moment

    Code:
    LIBRARY IEEE;
    use ieee.std_logic_1164.all;
    use IEEE.math_real.all; 
    use IEEE.electrical_systems.all;
    
    entity diviseur_pro is
        generic ( vlo, vhi :   real  ;
                   delay :time := 1000 ns ;
                    r_cl: real := 0.5);
        port (terminal p2,p3 : electrical);
    end entity diviseur_pro ;
    
    architecture beh_diviseur_pro of diviseur_pro is 
        constant Vseuil : real := 0.5*(vlo + vhi) ;
        quantity vin across i through electrical_ref to  p2 ;
        quantity vsort across isort through p3 to electrical_ref ;
        signal compteur    : real := 0.0 ;
        signal seuil_interm: real := vlo;
        signal N_div  : real := 20.0;    
    begin 
    i == 0.0 ;
    
    process 
    
    begin 
     
     N_div <= N_div +1.0 after  delay; 
    if (N_div = 25.0) then N_div <= 0.0;
    end if;
    
        wait until vin'above(Vseuil) ;
    compteur <= compteur + 1.0;
    if (compteur<1.0*(N_div)*r_cl) then seuil_interm <= vlo;
    else seuil_interm <= vhi ;
    end if;
    if (1.0*(N_div)<compteur) then compteur <= 0.0;
    end if;
     
    end process;
    
    vsort == seuil_interm'ramp(0.0,0.0);
    end architecture beh_diviseur_pro;
    Dernière modification par Jack ; 19/02/2019 à 19h49. Motif: Ajout balises code

  11. #10
    Jack
    Modérateur

    Re : description analogique et numerique

    Pourrais-tu ajouter les balises code la prochaine fois s'il te plait (bouton # de l'éditeur avancé). C'est tout de même plus présentable, à condition de donner une indenattion correcte.

  12. #11
    jiherve

    Re : description analogique et numerique

    Re
    Je n'en sais rien car je ne suis pas familier de ce langage .
    Mais cela me semble bien compliqué.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. [Brun] Analogique/numerique
    Par kuznik dans le forum Dépannage
    Réponses: 5
    Dernier message: 28/03/2018, 15h51
  2. Analogique et numérique
    Par invite6d04eabb dans le forum Physique
    Réponses: 1
    Dernier message: 04/04/2008, 10h16
  3. Numérique Ou Analogique?
    Par invitee73bc64e dans le forum Dépannage
    Réponses: 1
    Dernier message: 20/06/2006, 20h25
  4. Analogique/Numérique.
    Par invite71dc407a dans le forum TPE / TIPE et autres travaux
    Réponses: 2
    Dernier message: 24/07/2005, 10h41
Découvrez nos comparatifs produits sur l'informatique et les technologies.