delta sigma
Répondre à la discussion
Affichage des résultats 1 à 29 sur 29

delta sigma



  1. #1
    gcortex

    delta sigma


    ------

    bonjour à tous,
    j'ai trouvé sur le net des expliquations sur le fonctionnement des convertisseurs delta sigma, mais elles restent très sommaires.
    quelqu'un pourait nous faire une démonstration ??

    merci

    -----

  2. #2
    f6bes

    Re : delta sigma

    Bjr gcortex,
    C'est bref mais Wiki en cause .
    http://fr.wikipedia.org/wiki/Convert...num%C3%A9rique
    Cordialement

  3. #3
    gcortex

    Re : delta sigma

    j'ai rien compris mais merci

  4. #4
    Jack
    Modérateur

    Re : delta sigma

    Si tu ne l'as pas, ce document est bien:
    En revanche, j'aimerais bien comprendre comment on convertit le flot de bits en valeurs numériques (en octets par exemple). Je cale doc "un peu" sur le filtrage numérique et la décimation en sortie du démodulateur delta.

    A+

  5. A voir en vidéo sur Futura
  6. #5
    gcortex

    Re : delta sigma

    oui merci.
    very hard to understand

    en fait, pour un signal d'entrée constant, sans horloge, on obtient un rapport cyclique correspondant ?

    mais je ne comprends pas non plus comment on passe de ce rapport cyclique à des valeurs numériques


  7. #6
    PA5CAL

    Re : delta sigma

    Bonjour

    Un convertisseur delta-sigma (seul, sans filtre qui lui succèderait) fournit un flot cadencé de niveaux binaires (+1 et -1) dont la partie basse du spectre correspond au spectre de la dérivée du signal d'entrée (au bruit de quantification près).

    Ce flot de bits peut être transmis et stocké tel quel, et ne nécessite pas de synchronisation particulière.

    Sa transformation en signal numérique discret (octets ou autres) ou en signal analogique continu passe par un intégration (le calcul d'une moyenne) et un filtrage passe-bas vigoureux (pour éliminer le haut du spectre). Cela reste valable quelque soit le système ou les algoritmes employés.

  8. #7
    gcortex

    Re : delta sigma

    merci
    si on mesure le rapport cyclique avec un compteur/décompteur ?


  9. #8
    PA5CAL

    Re : delta sigma

    Citation Envoyé par gcortex Voir le message
    merci
    si on mesure le rapport cyclique avec un compteur/décompteur ?

    Il n'y a pas à proprement parler de rapport cyclique. Le flot de niveaux successifs (les bits) est cadencé à l'aide d'une horloge. Dans la majorité des cas (quand la pente du signal d'entrée n'est pas trop abrupte), on a pratiquement tout le temps une succession de +1 et de -1 ("rapport cyclique" de 50%) avec de temps en temps une succession de deux niveaux identiques ("rapport cyclique" de 33,3% ou 66,7%), voire plus (25% ou 75%, 20% ou 80%, etc.).

    Un compteur/décompteur peut effectivement servir à intégrer le signal, mais il faut alors également prévoir un lent recadrage vers le zéro (suppression de la composante continue) afin de s'affranchir de l'inévitable erreur de symétrie du convertisseur delta-sigma. Dans les faits, le comptage/décomptage numérique est réalisé par un DSP afin de permettre ce recadrage.
    Dernière modification par PA5CAL ; 20/04/2007 à 17h15.

  10. #9
    PA5CAL

    Re : delta sigma

    Pour illustrer ce qui se passe dans un convertisseur delta-sigma, voici un petit chronogramme.

    En gris les tops d'horloge. En bleu, le signal d'entrée. En rouge, le signal de sortie. En violet, la sortie de l'intégrateur présent dans le convertisseur.

    L'intégrateur intègre par rapport au temps la différence entre une fraction de la tension d'entrée et la tension de sortie.

    C'est le signe de la tension à la sortie de l'intégrateur au moment d'un top d'horloge qui détermine l'état de la sortie jusqu'au top d'horloge suivant.
    Images attachées Images attachées  

  11. #10
    PA5CAL

    Re : delta sigma

    On arrive facilement à se représenter l'allure de la tension moyenne à la sortie du convertisseur: elle correspond à l'inverse de la dérivée de la tension d'entrée par rapport au temps.

  12. #11
    Jack
    Modérateur

    Re : delta sigma

    very hard to understand
    J'ai trouvé d'autres documents plus simple, mais ta demande initiale parlait de démonstration alors ...

    Ce flot de bits peut être transmis et stocké tel quel, et ne nécessite pas de synchronisation particulière
    Comme dans les CD audio.

    Sa transformation en signal numérique discret (octets ou autres) ou en signal analogique continu passe par un intégration (le calcul d'une moyenne) et un filtrage passe-bas vigoureux (pour éliminer le haut du spectre). Cela reste valable quelque soit le système ou les algoritmes employés.
    Tu peux développer?

    Je ne comprends pas comment tu passes d'un flot de bits sérialisés à un octet par filtrage.

    A+

  13. #12
    PA5CAL

    Re : delta sigma

    Citation Envoyé par Jack Voir le message
    Ce flot de bits peut être transmis et stocké tel quel, et ne nécessite pas de synchronisation particulière

    Comme dans les CD audio.
    Justement non. Sur un CD, il y a nécessairement une synchronisation, pour déterminer le bit de poids fort, le bit de poids faible. Il y a de plus un formatage des données, de manière à repérer les plages musicales, les canaux droit et gauche, les informations supplémentaires, etc... Bref, chaque bit enregistré a une place et une fonction qui lui est propre au sein de l'enregistrement. Un décalage d'un bit ou d'un octet rend l'ensemble de l'enregistrement inintelligible.

    A contrario, dans le flot sortant d'un convertisseur delta-sigma, on peut vraiment prendre n'importe quelle série de bits consécutifs et reconstituer le signal d'origine correspondant. Quelque soit le bit sur lequel démarre la lecture, la suite du flot sera interprété de la même manière. La seule différence sera la composante continue du signal reconstitué, composante qu'on élimine dès que la longueur du flot devient suffisante.

    Citation Envoyé par Jack Voir le message
    Sa transformation en signal numérique discret (octets ou autres) ou en signal analogique continu passe par un intégration (le calcul d'une moyenne) et un filtrage passe-bas vigoureux (pour éliminer le haut du spectre). Cela reste valable quelque soit le système ou les algoritmes employés.
    Tu peux développer?

    Je ne comprends pas comment tu passes d'un flot de bits sérialisés à un octet par filtrage.
    Je vais prendre un exemple. Admettons que le convertisseur delta-sigma produise la série suivante (je mets une valeur sur 10 en gras pour aider à se repérer):

    1 1 0 1 1 1 0 1 1 1 0 1 1 0 1 1 0 1 0 1 0 1 0 1 0 0 1 0 0 1 0 0 0 1 0 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1

    Dans ce flot de bits, un 1 représente +1 unité et un 0 représente -1 unité.

    On commence par faire une intégration/sommation, bit après bit, du nombre d'unités correspondantes. Cela donne la suite de valeurs :

    1 2 1 2 3 4 3 4 5 6 5 6 7 6 7 8 7 8 7 8 7 8 7 8 7 6 7 6 5 6 5 4 3 4 3 2 1 2 1 0 1 0 1 0 1 2 1 2 3 2 3

    Ensuite, on doit filtrer pour éliminer les variations rapides (les hautes fréquences). On peut par exemple réaliser un filtrage passe-bas rudimentaire en faisant une somme pondérée de 8 valeurs consécutives affectés respectivement des coefficients 1, 2, 4, 5, 5, 4, 2 et 1. On aboutir à la suite de valeurs :

    1 4 9 17 26 37 48 60 72 84 96 108 120 130 140 148 156 164 170 176 178 180 180 180 180 178 176 170 164 156 148 140 130 120 108 96 84 72 60 48 38 28 22 16 14 14 16 22 28 36 44

    Sous forme de graphe, cette suite donne ceci:
    Images attachées Images attachées  

  14. #13
    PA5CAL

    Re : delta sigma

    Je viens de réaliser une intégration et un filtrage passe-bas sur une série de valeurs binaires (+1 et -1), et j'obtiens au final une suite de valeurs pouvant chacune être codée sur un octet.


    De la même manière, en analogique, je pourrais mettre un système d'intégration et de filtrage à la sortie du convertisseur delta-sigma pour retrouver un signal proche de celui d'origine.

    Un simple réseau R-C peut suffire si l'application ne nécessite pas une grande précision. C'est par exemple ce qu'on trouvait, à une époque pas si lointaine, dans les dictaphones et les répondeurs téléphoniques à mémoire numérique 1 bit.

  15. #14
    Jack
    Modérateur

    Re : delta sigma

    Merci pour tes lumières
    C'est très clair ton exemple.

    Je veux juste revenir sur le CD audio:

    Justement non. Sur un CD, il y a nécessairement une synchronisation, pour déterminer le bit de poids fort, le bit de poids faible. Il y a de plus un formatage des données, de manière à repérer les plages musicales, les canaux droit et gauche, les informations supplémentaires, etc... Bref, chaque bit enregistré a une place et une fonction qui lui est propre au sein de l'enregistrement. Un décalage d'un bit ou d'un octet rend l'ensemble de l'enregistrement inintelligible.
    Il me semblait pourtant me souvenir que les lecteurs de CD était équipé d'un CNA 1 bit, et que le petit connecteur (qui n'est plus guère utilisé aujourd'hui) qui reliait le lecteur à la carte son permettait de recueillir directement ces données.

    A+

  16. #15
    PA5CAL

    Re : delta sigma

    Citation Envoyé par Jack Voir le message
    Merci pour tes lumières

    Il me semblait pourtant me souvenir que les lecteurs de CD était équipé d'un CNA 1 bit, et que le petit connecteur (qui n'est plus guère utilisé aujourd'hui) qui reliait le lecteur à la carte son permettait de recueillir directement ces données.

    A+
    Oui, effectivement. Désolé, je pensais à autre chose. J'avais à l'esprit le stockage sur CD.

    Il est vrai qu'à une époque, on avait bien des CNA 1 bit qui utilisaient un flot continu non formaté. J'ai d'ailleurs conservé un vieux baladeur CD qui fait ça, rien que pour pouvoir récupérer cette sortie. L'engin est âgé de plus de 20 ans...
    Dernière modification par PA5CAL ; 21/04/2007 à 00h25.

  17. #16
    Jack
    Modérateur

    Re : delta sigma

    les lecteurs CD de nos PC ont encore cette sortie.

    Si quelqu'un a de la doc la dessus...

    A+

  18. #17
    gcortex

    Re : delta sigma

    on a pratiquement tout le temps une succession de +1 et de -1 ("rapport cyclique" de 50%)
    je ne pense pas, voici ma démo :

    (-e+v)(T+)= - (-e - v) (T-)

    ce qui donne e = v [(T+) - (T-)] / T


  19. #18
    PA5CAL

    Re : delta sigma

    Il faudrait savoir de quoi on parle. Moi je parle de rapport cyclique instantané, sur une alternance +1/-1.

    Mais de toute façon, ça n'a pas vraiment d'intérêt de parler de rapport cyclique, car la conversion delta-sigma n'a strictement rien à voir, ni de près ni de loin, avec la modulation en largeur d'impulsion (PWM).

  20. #19
    PA5CAL

    Re : delta sigma

    Ce que je veux dire par "on a pratiquement tout le temps une succession de +1 et de -1 ("rapport cyclique" de 50%), c'est que pour avoir de bons résultats dans une conversion delta-sigma, on choisit généralement une fréquence d'échantillonnage très élevée comparée aux variations les plus rapides du signal. Cela permet de réduire le bruit. Dans ces conditions, la dérivée du signal d'entrée est souvent faible, ce qui se traduit par une quantité élevée d'alternances "+1 -1 +1 -1 ...". En ce sens, le rapport cyclique instantané est le plus souvent égal à 50% (T+ = T- = 1/fech).

  21. #20
    PA5CAL

    Re : delta sigma

    Citation Envoyé par Jack Voir le message
    les lecteurs CD de nos PC ont encore cette sortie.
    S'il s'agit du petit connecteur à 3 ou 4 pins des appareils MPC (CDROM et cartes audio), ne serait-ce pas plutôt une sortie analogique stéréo ?

  22. #21
    Jack
    Modérateur

    Re : delta sigma

    C'est bien possible. Je ne trouve pas de littérature sur l'électronique des lecteurs de CD.

    A+

  23. #22
    gcortex

    Re : delta sigma

    ben oui pa5cal, n'empèche que mon calcul permet de trouver la valeur d'entrée.
    Si ce que tu dis est vrai (cf St Thomas !), alors on peut prendre la somme des T+ et la somme des T- sur une "grande" période.
    et après tout pourquoi ne pas mettre un trigger à la place du comparateur ?


  24. #23
    PA5CAL

    Re : delta sigma

    Citation Envoyé par gcortex Voir le message
    on peut prendre la somme des T+ et la somme des T- sur une "grande" période.
    Ce faisant, on réalise une intégration. C'est justement la première grosse différence entre la PWM et le delta-sigma, c'est que la partie basse du spectre de sortie correspond au signal d'entrée dans le premier cas, et à la dérivée du signal d'entrée dans le second.

    Citation Envoyé par gcortex Voir le message
    et après tout pourquoi ne pas mettre un trigger à la place du comparateur ?
    De but en blanc, je ne vois pas avec exactitude toutes les répercutions de l'introduction d'une hystérésis. Mais ça aboutirait seulement à une augmentation du bruit de quantification, à mon avis.

    En revanche pour passer d'une PWM à un delta-sigma, il manquerait un élément essentiel (et c'est la deuxième grosse différence à remarquer): le latch synchronisé sur l'horloge.
    Dernière modification par PA5CAL ; 22/04/2007 à 01h45.

  25. #24
    gcortex

    Re : delta sigma

    OK merci pour vos lumières

  26. #25
    PA5CAL

    Re : delta sigma

    Pour bien faire de distingo, voici les schémas de principe d'une PWM et des différentes variantes de delta-sigma.
    Images attachées Images attachées

  27. #26
    Celestion

    Re : delta sigma

    Bonjour,

    Je pense avoir bien compris grâce à l'explication de PA5CAL, mais je ne comprends pas les avantages et inconvénients en comparaison avec une modulation provenant de la comparaison du signal d'entrée à une porteuse triangulaire.

  28. #27
    invite14532198711
    Invité

    Re : delta sigma

    Citation Envoyé par PA5CAL Voir le message
    S'il s'agit du petit connecteur à 3 ou 4 pins des appareils MPC (CDROM et cartes audio), ne serait-ce pas plutôt une sortie analogique stéréo ?
    oui c'est bien l'analogique qui sort sur ce petit connecteur au pas de 2,54mm, meme sur les récents.
    J'ai très rarement vu des lecteurs (de PC) sortir du PCM ou du SPDIF. (ou peut être dans la nappe IDE pour les anciens ?).

    ça n'a pas d'interet dans le sens où de moins en moins de PC sont équipés de port d'entrée SPDIF.

  29. #28
    PA5CAL

    Re : delta sigma

    Bonjour

    … Déterrage de plus de 8 ans !!! On bat des records.

    Citation Envoyé par Celestion Voir le message
    je ne comprends pas les avantages et inconvénients en comparaison avec une modulation provenant de la comparaison du signal d'entrée à une porteuse triangulaire.
    Les deux n'ont pas la même fonction.

    Le convertisseur delta-sigma est un CAN de 1 bit. Il produit un flux régulier de bits qui peut être stocké dans une mémoire numérique ou transmis par une liaison série (SPI, I2C, RS232, USB, Internet...).

    Le convertisseur PWM ne produit pas des bits au sens informatique, mais un signal à deux niveaux dont le rapport cyclique est une grandeur analogique.

    Mais si l'on devait considérer un échantillonnage régulier du signal de sortie PWM (i.e. quantification temporelle) qui représenterait alors une succession de bits, à fréquence égale, le flux numérique produit serait moins efficace que le flux delta-sigma pour rendre compte des variations relatives rapides du signal analogique d'entrée. En revanche, il serait plus efficace pour rendre compte des niveaux absolus.

  30. #29
    PA5CAL

    Re : delta sigma

    Citation Envoyé par alex.com Voir le message
    ça n'a pas d'interet dans le sens où de moins en moins de PC sont équipés de port d'entrée SPDIF.
    Ça a d'autant moins d'intérêt que les PC sont de moins en moins utilisés et équipés pour lire des CD audio.

Discussions similaires

  1. electron pi et sigma
    Par chr57 dans le forum Chimie
    Réponses: 25
    Dernier message: 02/01/2022, 13h27
  2. Facteur sigma
    Par invite161a0bc8 dans le forum Biologie
    Réponses: 2
    Dernier message: 29/10/2006, 02h34
  3. liaisons sigma et pi
    Par invite0174dc5f dans le forum Chimie
    Réponses: 5
    Dernier message: 15/10/2006, 19h33
  4. Liaison sigma et pi ?
    Par invitec2869b12 dans le forum Chimie
    Réponses: 18
    Dernier message: 26/04/2006, 12h29
  5. Adduits pi et sigma.
    Par Antikhippe dans le forum Chimie
    Réponses: 9
    Dernier message: 11/11/2004, 20h30
Découvrez nos comparatifs produits sur l'informatique et les technologies.