implementation des filtres numerique sur fpga
Répondre à la discussion
Affichage des résultats 1 à 5 sur 5

implementation des filtres numerique sur fpga



  1. #1
    invite30dfa2b3

    Post implementation des filtres numerique sur fpga


    ------

    slt tt le monde , je suis entrain de faire l implementation d un filtre numerique sur fpga et je suis pas trés doué en fpga si qq1 peut m aidé en m envoyant un exemple d implemetation ou une these ou meme des conseils

    -----

  2. #2
    jiherve

    Re : implementation des filtres numerique sur fpga

    Bonsoir
    lien inoxydable :
    http://www-users.cs.york.ac.uk/~fisher/mkfilter/
    Facilement transposable en VHDL.
    Je l'ai fais tu peux le faire.
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    invite30dfa2b3

    Re : implementation des filtres numerique sur fpga

    mon problem c le passage de shema des filtre (multiplicateur et additioneur et integrateur et ...) au circuit fpga ,

  4. #4
    BastienBastien
    Invité

    Re : implementation des filtres numerique sur fpga

    Bonjour,

    Avec le FPGA, tu vas faire du traitement ana ou num ?

    Je crois que c'est plutôt numérique. Saisis les paramètres (tau, ordre, fréquence de coupure, etc) dans le formulaire et tu auras l'algorithme qui va bien. Tu n'auras plus qu'à convertir cet algorithme (ici fournit en C++) en VHDL.

    A moins que j'ai dit une bêtises ? Ce qui est possible !

    +

  5. A voir en vidéo sur Futura
  6. #5
    BastienBastien
    Invité

    Re : implementation des filtres numerique sur fpga

    Re,

    Pourquoi utilises-tu un FPGA ? Est-ce qu'il y a BEAUCOUP de traitement à faire ? Est-ce qu'un simple filtre à capa commutée ne peut pas suffir ?

    Ou alors, peut être que tu veux simplement te former aux FPGA et ainsi, ne pas rater le train en marche ?

    +

Discussions similaires

  1. Implémentation d'un code sur FPGA
    Par invite232dbe64 dans le forum Électronique
    Réponses: 5
    Dernier message: 12/07/2007, 13h00
  2. Implémentation d'un code sur FPGA
    Par invite232dbe64 dans le forum Électronique
    Réponses: 0
    Dernier message: 23/04/2007, 15h51
  3. Traitement des images à partir des filtres classiques
    Par invite25b55400 dans le forum Mathématiques du supérieur
    Réponses: 11
    Dernier message: 26/04/2005, 22h54
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...