[Programmation] vhdl :std_logic
Répondre à la discussion
Affichage des résultats 1 à 5 sur 5

vhdl :std_logic



  1. #1
    mohamedyassir

    vhdl :std_logic


    ------

    en vhdl on a:
    type std_logic is (
    'U', -- Uninitialized
    'X', -- Unknown
    '0', -- 0
    '1', -- 1
    'Z', -- High Impedance
    'W', -- Weak Unknown (0 or 1)
    'L', -- Weak 0 (models a pull-down)
    'H', -- Weak 1 (models a pull-up)
    '-'); -- Don't care
    je ne comprend pas l'interet d'utilisation de std_logic et std_logic_vector. est ce qu' on peut remplacer juste par rapport bit ou bit_vector?.c'il vous plait je veut un exemple bien expliqué qui montre l'avantage de l'utilisation de std_logic par rapport bit et la significatuion dans la programmation de U, X,Z,W, L, H et - . et merci

    -----

  2. #2
    capsule_59

    Re : vhdl :std_logic

    manque les mots magiques !

  3. #3
    jiherve

    Re : vhdl :std_logic

    Bonsoir,
    L’intérêt c'est pour la simulation car quant au reste il n'y a physiquement que trois état 1,0 et Z pour une I/O JAMAIS en interne c'est mortel
    Bien sur cela suppose que le VHDL soit ecrit en conséquence en particulier un CASE sur un std_logic_vector dont on aura explicité tous les cas physique (par exemple 8 états pour un vecteur de 3 bits) doit obligatoirement se finir par un WHEN OTHERS pour "collecter" tous les autres etats (7^3 pour l'exemple).
    JR
    l'électronique c'est pas du vaudou!

  4. #4
    albanxiii
    Modérateur

    Re : vhdl :std_logic

    Bonjour,

    Ça permet bien plus, et il n'y a pas physiquement que 3 états. Simuler des pull-up sur un bus I2C par exemple. Ou des bus DDR2/3...

    Le VHDL étant à la base un langage de description, il est logique qu'il se donne les moyens de décrire les états électriques qu'on peut rencontrer dans un circuit.

    @+
    Dernière modification par albanxiii ; 14/11/2016 à 11h53.
    Not only is it not right, it's not even wrong!

  5. A voir en vidéo sur Futura
  6. #5
    mohamedyassir

    Re : vhdl :std_logic

    c'il vous plait l'avantage de l'utilisation de std_logic par rapport bit et la signification dans la programmation de U, X,Z,W, L, H et - ?. et merci

Discussions similaires

  1. [Programmation] Problème en VHDL avec les multi fichiers vhdl
    Par drbug dans le forum Électronique
    Réponses: 2
    Dernier message: 02/02/2016, 11h32
  2. commande d'ascenseur avec VHDL la carte vhdl
    Par chakib123 dans le forum Électronique
    Réponses: 6
    Dernier message: 02/06/2014, 02h41
  3. Vhdl
    Par invite68aa31e7 dans le forum Électronique
    Réponses: 2
    Dernier message: 07/07/2009, 22h11
  4. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  5. vhdl
    Par invite6fc91cc2 dans le forum Électronique
    Réponses: 1
    Dernier message: 03/01/2008, 23h52
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...