[Numérique] Coder 4 bits en décimal sur 2 afficheurs LED 7 segments
Répondre à la discussion
Page 1 sur 2 1 DernièreDernière
Affichage des résultats 1 à 30 sur 39

Coder 4 bits en décimal sur 2 afficheurs LED 7 segments



  1. #1
    FabAppleII

    Coder 4 bits en décimal sur 2 afficheurs LED 7 segments


    ------

    Bonjour à tous,

    J'ai 4 bits en entrée, permettant donc de coder les valeurs de 0 à 15 en décimal.
    J'aimerais afficher ces valeurs sur 2 afficheurs LED 7 segments, puisqu'il en faut 2 pour afficher les nombre de 0 à 15
    Donc je cherche un circuit qui prend 4 bits en entrée et permet de sortir sur 2 afficheurs LED.
    Je trouve sur internet des circuits permettant de coder en hexadécimal de 0 à F sur 1 afficheur, mais pas en décimal de 0 à 15 sur 2 afficheurs.
    N'y a-t-il pas de circuit intégré permettant cela ?

    Merci par avance pour votre aide et bonne journée à tous

    -----

  2. #2
    PA5CAL

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Bonjour

    Pour afficher une valeur décimale sur deux chiffres, il faut en passer par une conversion.

    Le deuxième chiffre en sortie est à 0 pour les valeurs d'entrée de 0 à 9, et à 1 pour les valeurs d'entrée de 10 à 15. On peut obtenir le premier chiffre en retranchant 10 à la valeur d'entrée quand le deuxième chiffre est à 1, avant d'effectuer le décodage binaire vers 7 segments classique.

    Aujourd'hui, il est devenu plus simple et moins coûteux de mettre en œuvre un petit micro-contrôleur pour faire le travail, mais il est toujours possible d'utiliser plusieurs circuits logiques standards.

  3. #3
    PA5CAL

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Et lorsque la valeur est le résultat d'un comptage, il est préférable d'utilise directement un compteur décimal à deux chiffres.

  4. #4
    penthode

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    ou passer par une petite logique programmable genre pal/gal
    [b]le bon sens est un fardeau, car il faut s'entendre avec ceux qui ne l'ont pas [/b]

  5. A voir en vidéo sur Futura
  6. #5
    FabAppleII

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Bonjour Pa5cal, merci pour ton aide, c'est très clair.
    Je pensais que c'était une fonction assez classique mais en fait non !
    Je vais étudier les deux pistes : la logique ou le micro-contrôleur.
    Je n'y connais rien en micro-contrôleurs, mais je vois bien que ce serait une solution élégante.
    Et pour répondre à ta question, non, mes 4 bits en entrée ne sont pas le résultat d'un comptage. Il s'agit pour moi d'exploiter 4 sorties TTL pour piloter des équipements, et je me dis qu'au lieu de me brider à 4 sorties, je pourrais m'en servir pour coder 16 possibilités en binaire, et donc piloter davantage d'équipements.

  7. #6
    PA5CAL

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Je ne vois pas bien le rapport entre l'affichage d'une valeur sur deux chiffres en décimal et le pilotage d'équipements.

    Si la fonction n'a pas été directement implémentée dans des circuits standards, c'est parce qu'elle est fort peu usitée.

    Quand on convertit en nombre décimal, c'est juste pour en faire une représentation affichable. Quand on doit piloter un circuit parmi 16 à partir de 4 bits, on reste en binaire.

  8. #7
    FabAppleII

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Le rapport : je trouve cool de pouvoir afficher simplement sur mon boîtier de pilotage le n° de la sortie actuellement sélectionnée. Je trouve ça plus lisible en décimal qu'en hexadécimal.

  9. #8
    mag1

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Bonjour,

    J'ai fait une petite page sur les différentes méthodes d'affichages sur LED, de la plus simple à la plus "compliquée".
    http://electromag1.wifeo.com/command...t-segments.php

    La plus simple suffirait, µC : un 20M2 : https://www.gotronic.fr/art-microcon...20m2-17192.htm

    Si il y a des questions, il y aura des réponses.

    MM
    Si il y a des erreurs ci dessus, c'est que je n'ai pas eu le temps de les corriger...

  10. #9
    PA5CAL

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Un circuit comme celui-ci devrait afficher les nombres de 0 à 15 sur un afficheur à cathodes communes :

    Nom : decimal.png
Affichages : 1873
Taille : 24,9 Ko
    Dernière modification par PA5CAL ; 01/11/2018 à 15h40.

  11. #10
    mag1

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Une alternative à µC picaxe 20M2

    Nom : decod 7 seg 4 dig.JPG
Affichages : 1662
Taille : 56,3 Ko

    Le code est fourni

    MM
    Si il y a des erreurs ci dessus, c'est que je n'ai pas eu le temps de les corriger...

  12. #11
    DAT44

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Bonjour,
    le code est a revoir, l'entré est a 15 et l'afficheur affiche 13

  13. #12
    mag1

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Citation Envoyé par DAT44 Voir le message
    Bonjour,
    le code est a revoir, l'entré est a 15 et l'afficheur affiche 13
    Bonjour,

    Oups!...l'erreeeur...! Mais ce n'est pas le code qui est à revoir, c'est le schéma. Et ce n'est pas la seule erreur.

    Photo du code et de la simulation:

    Nom : simu code.JPG
Affichages : 1692
Taille : 179,6 Ko

    A droite, on voit la description du chiffre 1 dans la variable affunit = %00110000 pour les segments b et c, sur les broches B.7 à B.0 et donc la désignation des sorties du µC est erronée.
    Reste deux broches libres, on pourrait afficher de 0 à 63 en ajoutant deux inters.

    MM
    Dernière modification par mag1 ; 02/11/2018 à 09h04.
    Si il y a des erreurs ci dessus, c'est que je n'ai pas eu le temps de les corriger...

  14. #13
    FabAppleII

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Un grand merci Mag1 et Pa5cal.

    Mag1, j'ai commence à lire ton site, la programmation des Picaxes semble abordable pour un débutant comme moi. Ca ouvre pas mal de portes et ça me donne des idées. En tous cas je peux clairement résoudre mon sujet de cette façon-là.

    Pa5cal, j'essaie de comprendre le schéma, c'est intéressant. Ne me dis rien, je vais essayer de comprendre par moi-même. Juste un truc, pour que je réfléchisse correctement : dans tes numérotations de bits de 0 à 3, le bit de poids fort c'est le 3 ?

  15. #14
    jiherve

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Bonjour,
    pour passer de l'hexa au BCD il faut utiliser l'algo shift & add 3 aussi connu sous le nom "double dable" ,ce que fait le schéma de Pa5cal.
    JR
    PS :le pseudo est rigolo mais en retard de 30ans au moins car c'est mi 80 que l'on clonait à mort les Apple II , j'ai toujours le mien
    Dernière modification par jiherve ; 02/11/2018 à 10h25.
    l'électronique c'est pas du vaudou!

  16. #15
    DAT44

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Bonjour,
    le schéma de PA5CAL (excellent !) peut être simplifié en remplacent les 74HC283 et 74HC257 par un 74LS185, mais ce circuit est pratiquement introuvable maintenant ...

  17. #16
    FabAppleII

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Rebonjour à tous,

    JiHervé : je t'assure que la période n'a jamais été aussi faste pour l'Apple II ! Il y a 2 groupes très actifs sur FB, et beaucoup de nouveautés qui sortent encore sur cette admirable machine. Moi-même j'y participe à ma hauteur

    Pa5cal : Faute de bien comprendre la "raison d'être" du mécanisme, j'ai dressé la table logique de ton montage et en effet ça va fonctionner
    Je partage la table avec vous ci-dessous. On voit bien dans les 2 dernières colonnes que les afficheurs vont afficher les valeurs de 0 à 15.

    Je comprends qu'on applique un shift à droite, puis qu'on ajoute 3 via le 74HC283. Je comprends que ça répond à un algorithme bien connu quand on vise une conversion binaire vers BCD.
    Ensuite le rôle du 74HC257 est plus flou pour moi. On a 4 entrées doubles avec une sélection, et donc chaque sortie X renvoie soit le bit X qui a été shifté à droite, soit le bit X résultant de l'algorithme appliqué par le 74HC283. Et la sélection de l'un ou l'autre se fait par le bit de poids fort en sortie du 74HC283. Bon. Pourquoi pas
    Et enfin on passe le code BCD au 74HC4511, qui lui allumera correctement l'afficheur n°1.
    Et pas besoin de mobiliser un 2ème 74HC4511 pour juste allumer un "1" ou pour rester éteint.
    Ca me semble donc une solution très élégante, sans que je sache bien l'expliquer !

    Nom : Logique afficheurs LED.png
Affichages : 1435
Taille : 74,0 Ko

  18. #17
    PA5CAL

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Le bit 3 est effectivement le bit de poids fort. Conventionnellement, on numérote les bits en partant du bit de poids faible.

    Souvent, notamment lorsque les bits ont une signification numérique (comme par exemple ici sur l'additionneur 74HC283), on commence la numérotation à 0 de sorte qu'il y ait une correspondance directe avec le poids du bit (D0 aura un poids de 20=1, D1 aura un poids de 21=2, D2 aura un poids de 22=4, D3 aura un poids de 23=8, etc.).

    Toutefois, la numérotation démarre parfois à 1 (comme par exemple ici sur le multiplexeur 74HC257), la numérotation peut démarrer de 1. Attention, il arrive que cette numérotation ne soit pas la même dans les datasheets de deux constructeurs différents (par exemple, pour le 4 compteur binaire 4060, NXP numérote les sorties de O3 à O13 tandis que les autres constructeurs les numérotent de Q4 à Q14).


    Plusieurs références standards réalisent les fonctions nécessaires, mais j'en ai choisi parmi celles qui étaient effectivement disponibles, en boîtier DIP, chez des revendeurs électroniques en ligne réputés.

    Le principe de fonctionnement est le suivant :

    - On effectue la comparaison de la valeur d'entrée (de 0 à 15) avec la valeur 10. Pour ce faire, on ajoute la valeur 6 à la valeur d'entrée et on teste si le résultat est supérieur ou égal à 16.

    - Comme le bit de poids faible D0 est inchangé dans l'opération de conversion, on le laisse de côté et on ne travaille que sur les trois autres bits. On compare donc seulement la valeur des bits D3, D2 et D1 (de 0 à 7) avec la valeur 5, en ajoutant la valeur 3 à ces trois bits et en testant si le résultat est supérieur ou égal à 8 (S3=1). L'addition est réalisée à l'aide d'un circuit 74HC283.

    - Lorsque la valeur d'entrée est strictement inférieure à 10 (S3=0), la sortie 4Y est maintenue à l'état bas afin d'éteindre l'afficheur des dizaines, et le décodeur BCD vers 7-segments (74HC4511) reçoit du multiplexeur (74HC283) la valeur d'entrée brute.

    - Lorsque la valeur d'entrée est supérieure ou égale à 10 (S3=1), la sortie 4Y est maintenue à l'état haut afin d'allumer les deux segments de l'afficheur des dizaines correspondant au chiffre 1, et le décodeur BCD vers 7-segments reçoit du multiplexeur les quatre bits de poids faible de la somme de la valeur d'entrée et de la valeur 6 (quand l'entrée vaut 10=1010b, la somme vaut 16=10000b et la sortie vaut 0=0000b, quand l'entrée vaut 11=1011b, la somme vaut 17=10001b et la sortie vaut 1=0001b, ... quand l'entrée vaut 15=1111b, la somme vaut 21=10101b et la sortie vaut 5=0101b).
    Dernière modification par PA5CAL ; 02/11/2018 à 14h07.

  19. #18
    PA5CAL

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Oups... il faut lire « ... reçoit du multiplexeur (74HC257) ... »

  20. #19
    PA5CAL

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Dans le cas d'une solution à micro-contrôleur, on peut réaliser d'un coup la conversion, le décodage BCD vers 7-segments et le ré-ordonnancement des broches de sortie, en faisant appel à une simple table de transcodage de 16 octets.

    En effet, changer l'ordre de broches de sortie permet de réaliser un routage plus simple, en évitant par exemple de croiser les pistes ou d'en faire passer plusieurs entre deux broches voisines d'un circuit.

    Par exemple, pour un micro-contrôleur ATtiny à 14 ou 20 broches (NB: la version à 14 broches nécessite la réaffectation de l'entrée RESET et ne peut donc être réutilisée qu'avec un programmateur haute tension) :

    Code:
    ; ATtiny
    ; 4-bit binary to 2-digit decimal decoder
    
    ; input PB3:0
    ; output PA7:0
    
    ; ATtiny261A-461A-861A (20-pin MCU)
    ; ATtiny24A-44A-84A (14-pin MCU)
    DDRA  = 0x1A
    PORTA = 0x1B
    PINB  = 0x16
    
    .global TDECOD
    
    .org 0
      ldi r16,0xFF
      out DDRA,r16
      ldi r29,hi8(TDECOD)
      ldi r28,lo8(TDECOD)
    
    LOOP:
      in r30,PINB
      andi r30,0x0F
      ldi r31,0
      add r30,r28
      adc r31,r29
      lpm
      out PORTA,r0
      rjmp LOOP
    
    TDECOD:
      .byte 0xF6, 0x30, 0x6E, 0x7C
      .byte 0xB8, 0xDC, 0xDE, 0x70
      .byte 0xFE, 0xFC, 0xF7, 0x31
      .byte 0x6F, 0x7D, 0xB9, 0xDD
    
    
    ; 2 x 7-segment LED display:
    
    ;  2f   2g   2a   2b   2cc  1cc  1f   1a   1b
    ; [18] [17] [16] [15] [14] [13] [12] [10] [11]
    ;|                   __   __                  |
    ;|\                 |__| |__|                 |
    ;|/                 |__|.|__|.                |
    ;|                                            |
    ; [ 1] [ 2] [ 3] [ 4] [ 5] [ 6] [ 7] [ 8] [ 9]
    ;  2e   2d   2c   2dp  1e   1d   1g   1c   1dp
    
    ;    --a--
    ;   |     |
    ;   f     b
    ;   |     |
    ;    --g--
    ;   |     |
    ;   e     c
    ;   |     |
    ;    --d--  dp
    
    ;  Out   A7 A6 A5 A4 A3 A2 A1 A0
    ;  Disp. 1f 1a 1b 1c 1g 1d 1e 2b+2c Val.
    ;  _0     1  1  1  1  0  1  1  0    F6
    ;  _1     0  0  1  1  0  0  0  0    30
    ;  _2     0  1  1  0  1  1  1  0    6E
    ;  _3     0  1  1  1  1  1  0  0    7C
    ;  _4     1  0  1  1  1  0  0  0    B8
    ;  _5     1  1  0  1  1  1  0  0    DC
    ;  _6     1  1  0  1  1  1  1  0    DE
    ;  _7     0  1  1  1  0  0  0  0    70
    ;  _8     1  1  1  1  1  1  1  0    FE
    ;  _9     1  1  1  1  1  1  0  0    FC
    ;  10     1  1  1  1  0  1  1  1    F7
    ;  11     0  0  1  1  0  0  0  1    31
    ;  12     0  1  1  0  1  1  1  1    6F
    ;  13     0  1  1  1  1  1  0  1    7D
    ;  14     1  0  1  1  1  0  0  1    B9
    ;  15     1  1  0  1  1  1  0  1    DD
    Dernière modification par PA5CAL ; 02/11/2018 à 14h48.

  21. #20
    FabAppleII

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Pa5cal, un grand merci.
    Tes explications sont très claires, j'ai tout compris.
    Hyper formateur, cet exercice.
    Et la solution technique est extrêmement astucieuse je trouve.

  22. #21
    FabAppleII

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Nos posts se sont croisés.
    Clairement, la solution du micro-contrôleur est efficace, et ça m'ouvre des perspectives sur mes montages.
    Mais j'avoue que la solution logique est hyper classe

  23. #22
    PA5CAL

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    ( Désolé pour les nombreuses erreurs de rédaction. Comme j'ai la vue qui baisse, j'en laisse passer de plus en plus à la relecture, et le temps imparti pour la correction est toujours trop court. )

  24. #23
    PA5CAL

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    La solution à circuits logiques standards présente l'intérêt de ne pas avoir à programmer de puce, et donc de ne pas avoir à apprendre à maîtriser un outil de développement spécifique (langage, spécifications du micro-contrôleur, chaîne de compilation, utilisation du programmateur) ni à s'équiper du matériel nécessaire. Il suffit d'acheter les circuits et de les câbler pour que ça marche.

    Ils peuvent également faire des opérations beaucoup plus rapidement, et parfois plus sûrement, qu'un logiciel sur un petit micro-contrôleur.

    En contrepartie, le développement est moins souple, et les réalisations deviennent vite plus coûteux et encombrantes.


    Une autre solution au problème consiste à utiliser une mémoire non effaçable de 16 octets à bus parallèle, et d'y inscrire la table de transcription. Les 4 bits à l'entrée donnent l'adresse, et les 8 bits en sortie donnent l'état des 7+2 segments à piloter. Mais ce type de solution nécessite de pouvoir se fournir en circuit adéquat et de disposer du matériel de programmation correspondant.


    Quoi qu'il en soit, la mode actuelle est aux circuits programmables, qu'il s'agisse de micro-contrôleurs, ou de FPGA (pour les applications nécessitant une grande rapidité).
    Dernière modification par PA5CAL ; 02/11/2018 à 15h18.

  25. #24
    FabAppleII

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Oui la mode est clairement aux micro-contrôleurs, pour avoir farfouillé un peu partout pour cette histoire d'afficheurs LED, c'est la réponse quasi-systématique. L'intérêt est clair, mais c'est vraiment que c'est sympa de comprendre déjà la logique je trouve.
    Dans le cas présent, ça fait 3 petits puces pour 3-4 euros l'ensemble maximum, ça reste hyper raisonnable

    Petites questions pratiques :

    - Tu proposes des 74HCxxx dans la solution. Les 3 puces doivent-elles être en HC ou certaines peuvent-elles être en LS ? (rapport au fait que mon magasin d'électronique n'a le 283 qu'en version 74LS). Je vois bien la nuance de techno mais quid de leur compatibilité entre elles ?

    - Puis-je utiliser un 74LS47 à la place du 74HC4511 ? A ceci près que les sorties a à f sont inversées me semble-t-il. Auquel cas je présume qu'il me faudrait placer un inverseur sur chaque sortie, ou bien utiliser un afficheur à anode commune pour l'afficheur 1 uniquement ?

    Voilà, après je n'ai plus de questions !

  26. #25
    DAT44

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Bonjour,
    Citation Envoyé par FabAppleII Voir le message
    Petites questions pratiques :

    - Tu proposes des 74HCxxx dans la solution. Les 3 puces doivent-elles être en HC ou certaines peuvent-elles être en LS ? (rapport au fait que mon magasin d'électronique n'a le 283 qu'en version 74LS). Je vois bien la nuance de techno mais quid de leur compatibilité entre elles ?
    Théoriquement non(il faut du HCT), pratiquement oui, c'est compatible surtout a basse fréquence comme ici.


    Citation Envoyé par FabAppleII Voir le message
    - Puis-je utiliser un 74LS47 à la place du 74HC4511 ? A ceci près que les sorties a à f sont inversées me semble-t-il. Auquel cas je présume qu'il me faudrait placer un inverseur sur chaque sortie, ou bien utiliser un afficheur à anode commune pour l'afficheur 1 uniquement ?
    oui, si tu a des afficheur AC, sinon je ne vois pas l’intérêt ...

    Si tu utilise aussi un afficheur AC pour les dizaine, il faut ajouter un NPN (avec une résistance sur la base) pour inverser la commande sur les deux segments (b et c)
    Dernière modification par DAT44 ; 02/11/2018 à 17h44.

  27. #26
    PA5CAL

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Pour inverser la logique de la sortie 4Y afin de piloter un afficheur à anodes commune, il suffit de porter l'entrée 4A au niveau haut et l'entrée 4B au niveau bas. Il n'est pas nécessaire d'ajouter d'inverseur à base de porte logique ou de transistor.


    Concernant l'utilisation d'un circuit TTL 74LS :

    Comme l'a rappelé DAT44, contrairement aux circuits HCT qui ont été conçus dans ce but, en toute rigueur les circuits HC ne sont pas compatibles avec les circuits LS. Sur le premier graphe ci-dessous, on peut voir que les limites de tension spécifiées pour l'état haut ne correspondent pas : on n'a pas VOHmin(LS)>VIHmax(HC) comme souhaité. Autrement dit, dans le cadre d'un fonctionnement considéré comme normal, une sortie LS pourrait de ne pas produire une tension suffisamment élevée pour communiquer l'état logique haut à l'entrée HC.

    Mais en pratique, ça peut souvent marcher : le courant tiré par une entrée HC étant négligeable, la tension de sortie LS à l'état haut parvient généralement à être assez élevée pour dépasser le seuil de basculement de l'entrée HC.

    Nom : LS-HC.png
Affichages : 1315
Taille : 165,6 Ko

  28. #27
    mag1

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Citation Envoyé par FabAppleII Voir le message
    Un grand merci Mag1 et Pa5cal.

    Mag1, j'ai commence à lire ton site, la programmation des Picaxes semble abordable pour un débutant comme moi. Ca ouvre pas mal de portes et ça me donne des idées. En tous cas je peux clairement résoudre mon sujet de cette façon-là.
    Il n'y a plus qu'à choisir entre les deux solutions, le soluce circuits logiques a le charme du rétro.

    Les picaxes sont ultra simples d'utilisation, et si tu as un vieux PC avec sorties série, trois morceaux de fils suffisent. Sinon, il faut un convertisseur USB série, l'original à 20 euros ou le low cost à 1,50 euro: http://electromag1.wifeo.com/cordon-...ation-usb-.php
    actuellement: https://www.ebay.fr/itm/2-5-10PCS-PL...FNQ:rk:37:pf:0
    Mais 3 à 5 semaines de délai.
    Et la possibilité d'ajouter deux inters...on sait jamais.

    Dans les deux cas, bonne bidouille.

    MM
    Si il y a des erreurs ci dessus, c'est que je n'ai pas eu le temps de les corriger...

  29. #28
    DAT44

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Bonjour,
    Citation Envoyé par PA5CAL Voir le message
    Pour inverser la logique de la sortie 4Y afin de piloter un afficheur à anodes commune, il suffit de porter l'entrée 4A au niveau haut et l'entrée 4B au niveau bas. Il n'est pas nécessaire d'ajouter d'inverseur à base de porte logique ou de transistor.
    Oui, en effet c'est plus simple ...

  30. #29
    FabAppleII

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    A nouveau, merci Pa5cal pour la clarté de tes explications.
    Mag1 : Oui je vais vraiment regarder car ça a l'air marrant et efficace de programmer ses propres Picaxes. Pour le câble USB/série, j'en ai déjà un à la maison pour faire discuter mon MacBook avec mon Apple II

  31. #30
    mag1

    Re : Coder 4 bits en décimal sur 2 afficheurs LED 7 segments

    Citation Envoyé par FabAppleII Voir le message
    A nouveau, merci Pa5cal pour la clarté de tes explications.
    Mag1 : Oui je vais vraiment regarder car ça a l'air marrant et efficace de programmer ses propres Picaxes. Pour le câble USB/série, j'en ai déjà un à la maison pour faire discuter mon MacBook avec mon Apple II
    Bonjour,

    Ok, mais le cordon picaxe inverse la polarité des signaux standard. Il y a une procédure de test de polarité dans le logiciel PE6.
    Il faudra probablement fabriquer un inverseur avec deux NPN et 4 résistances.
    Voir la page du cordon low cost

    MM
    Dernière modification par mag1 ; 03/11/2018 à 11h32.
    Si il y a des erreurs ci dessus, c'est que je n'ai pas eu le temps de les corriger...

Page 1 sur 2 1 DernièreDernière

Discussions similaires

  1. Afficher un entier du 8 bits sur 3 Afficheurs 7 segments
    Par invite5801f109 dans le forum Électronique
    Réponses: 3
    Dernier message: 10/11/2012, 14h16
  2. Afficheurs 7 segments
    Par Papy dépron dans le forum Électronique
    Réponses: 13
    Dernier message: 28/01/2011, 20h29
  3. Affichages d'un nombre sur 8 bits sur deux afficheurs 7 segments.
    Par invite79668502 dans le forum Électronique
    Réponses: 18
    Dernier message: 30/12/2010, 12h05
  4. Afficheurs segments
    Par invite91183f95 dans le forum Électronique
    Réponses: 4
    Dernier message: 26/11/2006, 16h17
  5. Afficheurs 7 segments
    Par invitea3d69760 dans le forum Électronique
    Réponses: 12
    Dernier message: 09/06/2006, 08h25
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...