Salut tt le monde, je n'arrive pas à synthétiser un simple
monostable en VHDL . En fait c pour faire un anti rebond
voici le code :
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity antirebond is
Port ( freqmux : in STD_LOGIC;-- signal horloge
bp : in STD_LOGIC;-- signal bouton poussoir
minset : out STD_LOGIC:='0'); sortie
end antirebond;
architecture Behavioral of antirebond is
signal counter:std_logic_vector(3 downto 0);
begin
process(bp,freqmux)
begin
if(bp'event and bp='1')then
if(freqmux'event and freqmux='1')then
if(counter<"1111")then
counter<=counter+1;minset<='1' ;
elsif(counter="1111")then
counter<="0000";minset<='0';
end if;
end if;
end if;
end process;
end Behavioral;
et voici l'erreur généré sous xilinx ISE webpack:
Performing bidirectional port resolution...
Synthesizing Unit <antirebond>.
Related source file is "C:/Xilinx/rebond/antirebond.vhd".
ERROR:Xst:1534 - Sequential logic for node <minset> appears to be controlled by multiple clocks.
ERROR:Xst:739 - Failed to synthesize logic for signal <minset>.
ERROR:Xst:1431 - Failed to synthesize unit <antirebond>.
Est ce que quelqu'un pourrait m'expliquer mon erreur , je
comprends pas pourquoi on ne peut pas faire ca.
-----