générer un signal carré
Répondre à la discussion
Affichage des résultats 1 à 21 sur 21

générer un signal carré



  1. #1
    invite4aaa7617

    générer un signal carré


    ------

    bonjour, je voudrais générer un signal carré dune fréquence de 1Hz, je voudrais savoir si je peut m'en sortir avec des condensateurs et des ADI ou alors si il va me falloir obligatoirement un quartz?
    ps: pouvez vous m'expliqez commet utilise t'on les quartz svp?
    merci

    -----

  2. #2
    invite1f33d7cf

    Re : générer un signal carré

    le quartz c'est facile il suffit de l'alimenter et a ses borne il sort une oscillation... Tu n'en trouvera pas de la valeur de 1Hz, et le signal n'est pas carré. Pour fair un signal carré il faut voir sur les montage astable ou alors avec un circuit RC et une porte logique.

  3. #3
    iriaax

    Re : générer un signal carré

    on peux diviser la fréquence du secteur 50Hz si la précision d'un quartz n'est pas nécessaire. Mais des circuits horloges existent en kit, il suffit d'en extraire le signal.

  4. #4
    invite4aaa7617

    Re : générer un signal carré

    C est quoi un circuit RC?
    désolé mais jen'y cinnait pas grand chose en éléctronique

  5. A voir en vidéo sur Futura
  6. #5
    invite243be281

    Re : générer un signal carré

    RC=Resistance Condensateur

  7. #6
    invite4aaa7617

    Re : générer un signal carré

    et la porte logique, cela peut se faire a paritr d'un adi ?

  8. #7
    Tam

    Re : générer un signal carré

    Citation Envoyé par Bourkanieche
    et la porte logique, cela peut se faire a paritr d'un adi ?
    C'est quoi un ADI ?
    Merci.

  9. #8
    invite4aaa7617

    Re : générer un signal carré

    C'est ce qu'on appelle plus couramment amplificateur opérationel, c'est un petit composant? 8 patte qui permet de faire plein de chose de base comme comparer, additiopner soustraire , muliplier des tensions etc

  10. #9
    Tam

    Re : générer un signal carré

    Ok merci. Je connais bien les Ampli-Op mais pas les ADI.
    Est-ce que c'est un nouveau sigle à la mode ??
    Bon pour revenir au sujet faudrait en dire plus sur la précision attendue.

  11. #10
    invite9271905e

    Re : générer un signal carré

    j'ai un schéma pour toi sans ci a base de transistor condensateur, c'ets un montage appelé multivibrateur abraham bloch. J'en ai fait une photo mais je ne peut te la mettre en pièce jointe. Si cela t'intéresse je te l'envoie sur ton email. Ce montage ne sera pas aussi stable que celui à porte mais il est beaucoup plus économiques. Cela dépend ceux que tu veux en faire. Sinon pense au ne555 c'est un composant très pratique facile à trouver et avec de nombreux montages sur internet

  12. #11
    invite4aaa7617

    Re : générer un signal carré

    disons que, en fait je veux réaliser moi même un timer, pour cela , j'utilise des bascules (3 je crois mais je ne saurais plus vous dire exactement e tpe, je n'ai pas lle schéma sous les yeux ) mais au début,il me faut un signal d'horloge carré de fréquence 1 hz pour que cela retranche une unitéa chaque seconde .
    donc pour la précision, je chrecher a fabriquer quelquechose d'assez précis mais je ne sui pas au millième de seconde près, je cherche avant tout a faire quelquechose d'assez simple: c'est mon premier projet électronique , (je n'étudie l'életronique que depuis un an)
    voila

  13. #12
    Tam

    Re : générer un signal carré

    Salut,
    Aujourd'hui, je laisserais tomber les portes, les RC, et je ferais ça juste avec un seul circuit à 8 broches sans aucun autre composant.
    Ce circuit serait par exemple un PIC 12F675.

  14. #13
    etronics

    Re : générer un signal carré

    Salut

    tu as plusieurs solutions possible en effet , je propose aussi un NE555 ....http://etronics.free.fr/dossiers/analog/analog60.htm

    @+++ dan

  15. #14
    Bigonoff

    Re : générer un signal carré

    Salut
    -----

    le quartz c'est facile il suffit de l'alimenter et a ses borne il sort une oscillation
    Heuuu, c'est "un peu beaucoup" simpliste. Un quartz ne génére rien du tout, il a juste une fréquence de résonnance bien définie, il n'oscille pas tout seul.

    La méthode de Iriaax me semble la mieux adaptée au projet

    A+
    Bigonoff
    Vive l'Internet libre

  16. #15
    invite4aaa7617

    Re : générer un signal carré

    oui sauf que j'ai omis de dire que cela doit fonctioner sur pile donc malheureusement pour la méthode a iriaxx.....
    par contre je voudrais savoir: le PIC12, il faut le programmer avant non?
    sinon, j'ai déja commencé a me renseigner pour le ne555 et (même s'il faut que je regarde encore pour les schémas etc) je crois que je vais opter pour lui.
    sinon je voudrais savoir ou est ce que l'on peut trouver des plaques d'essai , je sais pas si vous voyez ce que je veux dire: des plaques avec des trous reliés entre eux dans lesques ont peu placés les composants sans avoir a les soudés, ca permet d'essayer des montages vite faits
    merci

  17. #16
    Tam

    Re : générer un signal carré

    Citation Envoyé par Bourkanieche
    oui sauf que j'ai omis de dire que cela doit fonctioner sur pile donc malheureusement pour la méthode a iriaxx.....
    par contre je voudrais savoir: le PIC12, il faut le programmer avant non?
    Oui c'est la seule "petite" difficulté

    Pour les "plaques à trous", faudrait voir chez les détaillants habituels ?

  18. #17
    invite9271905e

    Re : générer un signal carré

    Salut,
    Concernant tes plaques à essai tu as plusieurs fournisseurs qui peuvent te fournir, si tu n'en a pas dans ton secteur essaye sur internet je connais conrad, espace electronique et pour l'instant je trouve que e44 passe pour être le moins cher dans le commerce internet. tu trouveras ces sites en tapant leur nom + ".com"

  19. #18
    invite9271905e

    Re : générer un signal carré

    juste une chose pour le ne555 si tu cherches à obtenir un signal parfaitement carré lit bien les infos sur le ne 555 car je crois que tu ne peut obtenir un temps signal haut = au temps signal bas. Si c'est le cas je peux t'en proposer un avec le 741 (aop)

  20. #19
    invite4aaa7617

    Re : générer un signal carré

    en fait je n'ai pas besoin qu'il sit parfaitement carré, le signgal doit servir de signal d'horloge pour des bascules => il suffit d'avoir un front montant et desandant => c pas grave si tH=tB (enfin je pense )
    sinon, comment connaissez vous les circuits a utiliser? je veux dire comment saviez vous qu'il fallait un Ne555 est ce que cela est du a de nombreuse années a aprendre sur le tas, ou alors avez vous appris grace a des livres bien conçus? ( si oui lesquels? )
    merci

  21. #20
    Tam

    Re : générer un signal carré

    Citation Envoyé par Bourkanieche
    ...sinon, comment connaissez vous les circuits a utiliser? je veux dire comment saviez vous qu'il fallait un Ne555 est ce que cela est du a de nombreuse années a aprendre sur le tas, ou alors avez vous appris grace a des livres bien conçus? ( si oui lesquels? )
    merci
    Si je peux me permettre:
    Ajd avec Google c'est assez facile pour peu que tu connaisses le nom de la fonction recherchée: ici c'est TIMER.
    A la 7ième occurence de la recherche:
    Citation Envoyé par google
    555 Timer/Oscillator tutorial with examples, 741 op-amp tutorial with examples

  22. #21
    invite9271905e

    Re : générer un signal carré

    salut,
    moi je te dirai simplement que je pratique l'electronique dans sa plus simple version. J'évite tous ce qui est microcontroleur et eeprom pour faire mes montages, d'ailleurs je n'ai rien pour les programmer. De plus j'utilise les composants les plus courants de façon à ne pas trop avoir de composants (sachant que j'en est récupéré et que j'en récupère pas mal sur des appareils hs). Quand à l'utilisation des ci j'utilise aux maximum (tant que cela ne demande de donnée très spécifique) les circuits de base. Pour crèer mes montages (a part pour celui que je réalise en ce moment) j'ai pas mal de montage de différentes revues dont j'utilise les idées pour crèer les miens. Et pour finir ces montages j'ai une sorte d'encyclopédie que m'ont offerts mes parents il y a quelques années qui apprener l'electronique pas à pas. Elle m'a proposer de nombreux appareils pour arriver à la réalisation d'une alarme maison . Aujourd'hui je ne sais pas si elle existe encore mais elle me sert dans toutes mes réalisations. Et le moyen à l'heure actuelle pour apprendre à bon prix c'est internet, il y a des sites d'apprentissages (payant pour beaucoup) mais qui sont pour moi moins cher que dans le commerce. Tu trouveras de nombreux montages sur internet si tu as le temps de chercher. mon encyclopédie c'est eurotechnique le livre pratique de l'électronique

Discussions similaires

  1. Transformer un signal continu en signal carré
    Par invite4941ea49 dans le forum Électronique
    Réponses: 12
    Dernier message: 14/05/2007, 17h52
  2. Générer un signal carré 500hz avec flowcode sur pic 16f84
    Par invitef27eb29a dans le forum Électronique
    Réponses: 2
    Dernier message: 09/02/2007, 20h25
  3. Générer un signal créneau
    Par invite7a603ba1 dans le forum Électronique
    Réponses: 8
    Dernier message: 28/07/2006, 21h29
  4. Signal continue vers signal sinusoidale carré
    Par invite0cebd2e1 dans le forum Électronique
    Réponses: 9
    Dernier message: 11/05/2006, 17h01
  5. Générer un signal.....
    Par invite834a3bd2 dans le forum Électronique
    Réponses: 5
    Dernier message: 25/02/2004, 17h21
Découvrez nos comparatifs produits sur l'informatique et les technologies.