génération d'un signal carré
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

génération d'un signal carré



  1. #1
    invite014ff062

    génération d'un signal carré


    ------

    svp j'ai besoin de votre aide
    le TP se déroule sur PIC16F84
    j'ai un programme qui est :
    --------------------------------------------------------------------------
    Programme Principale : generateur de carre
    --------------------------------------------------------------------------
    label instruct. operande commentaire
    ORG 00H ;Première case mémoire pour le l'implantation du programme

    GOTO INIT ;Saut au début du programme
    INIT ( partie à compléter ) ; Initialisation du portB en sortie

    CARRE BSF PORTB,0 ;Mise à1 du bit0 du port B

    (partie à compléter ) ; Mise à0 du bit0 du portB
    GOTO CARRE ; Saut inconditinnel vers l'étiquette CARRE

    END
    --------------------------------------------------------------------------
    question1: complétez ce programme source assembleur pour que le port B dans sa totalité (8bits) soit configuré comme un port de sortie et qu'il écrive successivement 0 et 1 sur le bit 0 du port B

    question 2:dans un premier temps pour allonger la période du signal carré obtenu dans le programme, on propose d'insérer une boucle dans ce programme. cette boucle décrémentra une variable (par exemple Delai1) d'une certaine valeur initiale jusqu'à '0'.
    la valeur initiale de cette variable parmettra de modifier le temps d'attente.Proposer un programme assembleur qui intègre cette boucle d'attente.
    question3: calculez le nombre maximum de cycles "d'attente" ainsi obtenus (la valeur maximal de Delai1 est 255)
    Déterminer la relation entre la valeur initiale et le nombre de cycle machine qui réaliseront l'attente.



    Merci D'avance.

    -----

  2. #2
    invitea2a307a0

    Re : génération d'un signal carré

    bonjour,
    avez-vous compris ce que signifie l'instruction :
    CARRE BSF PORTB, 0 ?
    BSF met à 1 logique le port B0. Il doit exister une instruction qui fait le contraire !
    bon courage.

  3. #3
    aiba

    Re : génération d'un signal carré

    slt tt le monde je suis nouvelle sur le forum et je sais pas comment m'y prendre pour créer une nouvelle discussion.
    Si quelqu'un peut m'aider
    Merci d'avance

  4. #4
    DAUDET78

    Re : génération d'un signal carré

    Bonjour aiba et bienvenue sur FUTURA
    Le bouton sur fond bleu en haut à gauche
    http://forums.futura-sciences.com/mo.../newthread.gif
    J'aime pas le Grec

  5. A voir en vidéo sur Futura

Discussions similaires

  1. Amplification d'un signal carré, commande de switch
    Par Padrino dans le forum Électronique
    Réponses: 5
    Dernier message: 19/06/2008, 09h07
  2. generation d'un signsal carré à l'aide de 16F877
    Par invitef2e31089 dans le forum Électronique
    Réponses: 1
    Dernier message: 28/05/2008, 17h09
  3. Réponses: 9
    Dernier message: 14/03/2008, 22h34
  4. fonctionnement d'un GBF: signal carré.
    Par invite7f2cba89 dans le forum Électronique
    Réponses: 6
    Dernier message: 21/11/2005, 09h30
  5. probleme de generation de signal à partir d'un PIC
    Par invitedf0aab05 dans le forum Électronique
    Réponses: 4
    Dernier message: 22/08/2004, 18h00
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...