langage VHDL
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

langage VHDL



  1. #1
    invite8b4d9c4a

    langage VHDL


    ------

    J'ai 1 souci sur le langage VHDL. je suis débutant.

    En fait, j'ai l'énoncé :

    "le circuit suivant est un décodeur 2 vers 4 synchrone:

    A sera défini comme un vecteur de bit: c'est le vecteur de sélection de la sortie.
    Le décodeur peut changer d'état de sortie sur chaque front montant de l'horloge, notée CLK.
    Si une sortie est activée, on met cette sortie à l'état logique 0; sinon on la place à l'état logique 1. Une seule sortie à la fois peut être activée

    le prof a traduit l'énoncé pour faire la table de vérité



    Vous pouez m'expliquer comment mon prof a fait ?

    Merci d'avance

    -----

  2. #2
    indri

    Re : langage VHDL

    Ben c'est tout bête: 00=0 ->on active S0, 01=1 ->S1, 10=2->S2 idem pour 11=3

    Puis on dit
    Si une sortie est activée, on met cette sortie à l'état logique 0; sinon on la place à l'état logique 1. Une seule sortie à la fois peut être activée
    Je vois pas ce qu'il y a de compliqué...

    Ps: on connait pas le rognage?^^
    Là où va le vent...

Discussions similaires

  1. de langage C en langage assembleur
    Par invite284746c1 dans le forum Électronique
    Réponses: 1
    Dernier message: 14/05/2009, 12h42
  2. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  3. langage vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 1
    Dernier message: 15/12/2007, 07h51
  4. langage VHDL
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 2
    Dernier message: 04/06/2007, 23h31
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...