coder une équation en VHDL
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

coder une équation en VHDL



  1. #1
    invite058d98f3

    coder une équation en VHDL


    ------

    Bonjour,
    je veux coder en VHDL l'équation suivante :
    d(n)=K. eps(n) + int(n-1)+ K. T. eps(n)

    Veuillez m'aider svp.

    MERciiiiiiiiiii

    -----

  2. #2
    invite058d98f3

    Re : coder une équation en VHDL

    j'ai trouver un algorithme!
    y a t il quelqu'un qui peut m'aider à le coder en vhdl.
    l'algorithme est le suivant :

    Cette équation nécessite les étapes suivantes :
    Il faut rappeler que "int(n-1)" c'est la même que "d(n)", la différence c'est l'instant d'échantillonnage.
    Pour implémenter cette équation il suffit de:

    - Définir un process sensible au signal d'Horloge (P1rocess(clk))
    - Tester le front d'horloge (if ((clk'event and clk='1' ....)
    - Calculer epsilon.
    - Calculer "d" en ce basant sur cette équation, (tu peux initialiser "int" à 0 ).
    - Affecter la valeur de "d" à "int" (int<=d)

    A chaque front d'horloge, le process s'exécute de nouveau, et "int" sera l'ancienne valeur de "d"...

    merciiiiiiiiiiiii...

  3. #3
    invite058d98f3

    Re : coder une équation en VHDL

    j'essaye de faire une multiplication mais à chaque fois, je trouve plus une méthode de garder le retenu, veuillez m'aider svp!!

Discussions similaires

  1. coder 4 voies PPM en une seule
    Par invite0f3760c9 dans le forum Électronique
    Réponses: 15
    Dernier message: 02/12/2008, 17h12
  2. Réaliser une PWM en VHDL
    Par inviteba7165a5 dans le forum Électronique
    Réponses: 1
    Dernier message: 22/04/2008, 21h15
  3. Créer une impulsion en VHDL....
    Par invitefa17a68f dans le forum Électronique
    Réponses: 0
    Dernier message: 03/06/2006, 16h21
  4. Coder
    Par invitee840409b dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 24/05/2006, 17h42
  5. Coder une transmission de radiocommande
    Par invite5ef698cd dans le forum Électronique
    Réponses: 8
    Dernier message: 14/05/2006, 20h33
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...