Salut :)
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

Salut :)



  1. #1
    invite57f1d927

    Salut :)


    ------

    j'ai trouvé des difficultés pour écrire le programme VHDL concernant un diviseur de fréquence,j'ai comme entrée 50Mhz et on veut avoir 1Hz dans la sortie.
    merci d'avance.

    -----

  2. #2
    Lytharan

    Re : Salut :)

    Gooooogle est ton ami ! Recherche des tutoriels tu auras la réponse a ta question.


    PS: La prochaine fois metre un titre de sujet plus pertinant...

  3. #3
    stefjm

    Re : Salut :)

    Voir le cours, TD, TP si c'est à l'école...
    Moi ignare et moi pas comprendre langage avec «hasard», «réalité» et «existe».

  4. #4
    alban77

    Re : Salut :)

    Salut

    2 solutions :
    1- les FPGA ( si il s agit bien d'un FPGA) ont des outils type PLL permettant de faire ça.
    2- Passer d'une horloge de 50MHz à une horloge de 1 Hz c'est typiquement faire un compteur qui compte jusqu'a 50000000 ...

    A+

  5. A voir en vidéo sur Futura

Discussions similaires

  1. salut
    Par invite981707e0 dans le forum Santé et médecine générale
    Réponses: 1
    Dernier message: 02/11/2006, 12h21
  2. salut
    Par invite981707e0 dans le forum Santé et médecine générale
    Réponses: 2
    Dernier message: 02/11/2006, 01h02
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...