probléme programmation FPGA
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

probléme programmation FPGA



  1. #1
    invited46bc09d

    probléme programmation FPGA


    ------

    bonjours a tous
    je dois programme un PWM sur un FPGA.
    pour ça, j'ai une carte de dévellopement Nexys 3 pour ceux qui connaissent. je programme sous ISE design suite 14.7.
    j'ai fait un premier programme qui me fessais un PWM fixe que j'ai programmer sur la carte et cela marchait a merveille. malhereuseument j'ai voulu modifier la taille de mon compteur et depuis j'ai une erreur de mapping je ne comprend pas pourquoi et je ne sais pas comment la résoudre
    ERROR:Pack:1654 -The timing-driven placement phase encountered an error.

    Code:
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use ieee.std_logic_unsigned.all;
    
    entity PWM_fixe_top is
        Port ( clk_in : in  STD_LOGIC;
               rst : in  STD_LOGIC;
               clk_out : out  STD_LOGIC);
    end PWM_fixe_top;
    
    architecture Behavioral of PWM_fixe_top is
    
    signal cmpt : std_logic_vector (7 downto 0) := "11111111";
    signal sortie : std_logic;
    
    begin
    
    process(clk_in,rst)
    	begin
    	if (rst = '1') then 
    		cmpt <= "00000000";
    		sortie <= '0';
    	else 
    		if(clk_in'event and clk_in ='1') then
    			if (cmpt < "11111111") then
    			cmpt <= cmpt + 1;
    			else 
    			cmpt <= "00000000"; 
    			sortie <= not (sortie);
    			end if;
    		end if;
    	end if;
    clk_out <= sortie;
    end process;
    
    end Behavioral;

    -----
    Dernière modification par JPL ; 22/04/2014 à 14h04. Motif: Ajout de la balise Code (#) pour garder l'indentation

  2. #2
    Jack
    Modérateur

    Re : probléme programmation FPGA

    Une bonne fois pour toutes, le VHDL ou autres langages hardware, c'est pour le forum électronique.

Discussions similaires

  1. programmation FPGA avec quartus
    Par invited58ee606 dans le forum Électronique
    Réponses: 4
    Dernier message: 01/02/2020, 01h07
  2. Développement d'un flow de programmation FPGA
    Par invite020df6fd dans le forum Électronique
    Réponses: 0
    Dernier message: 29/11/2012, 16h35
  3. Programmation FPGA
    Par thomasalbert1993 dans le forum Électronique
    Réponses: 22
    Dernier message: 19/02/2010, 08h55
  4. Problème configuration FPGA EP1C3T100C8N
    Par WhiteBird dans le forum Électronique
    Réponses: 0
    Dernier message: 06/10/2007, 09h06
  5. Réponses: 1
    Dernier message: 07/11/2006, 16h53
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...