Générateur parallèle de 4 bits vers un bit série en VHDL
Répondre à la discussion
Affichage des résultats 1 à 7 sur 7

Générateur parallèle de 4 bits vers un bit série en VHDL



  1. #1
    isamel85

    Question Générateur parallèle de 4 bits vers un bit série en VHDL


    ------

    Bonjour,
    Je veux faire la description en VHDL générateur parallèle de 4 bits vers un bit série.
    En effet, à chaque front d’horloge (250 kHz), nous prenons seulement un bit en commençant par le bit le moins significatif (LSB).
    Exemple :
    Entrée = "0101" (sur 4 bits)
    Donc au premier front d’horloge, Sortie = '1' (LSB)
    Deuxième front d’horloge, Sortie = '0'
    Troisième front d’horloge, Sortie = '1'
    Quatrième front d’horloge, Sortie = '0' (MSB)
    Y a-t-il quelqu’un qui peut m’aider ?
    Et merci

    -----

  2. #2
    jiherve

    Re : Générateur parallèle de 4 bits vers un bit série en VHDL

    Bonsoir,
    montre ce que tu as déjà écrit, car c'est trivial en VHDL.
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    isamel85

    Re : Générateur parallèle de 4 bits vers un bit série en VHDL

    Voilà mon code mais il a un problème quelque part

    Code:
    library IEEE;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;
    
    entity generateur_bits is
    port ( Output_data		: out  std_logic;
    		Clock_250kHz		: in std_logic;
    		Load_sr	: in std_logic;
    		Input_datas	: in std_logic_vector(3 downto 0)
    		);
    
    end generateur_bits;
    
    architecture Behavioral of generateur_bits is
    signal 	register_temp : std_logic_vector (3 downto 0);
    begin
    process (Clock_250kHz)
    
    begin
      if rising_edge(Clock_250kHz) then
        if (Load_sr = '1') then
          register_temp <= Input_datas;
        else
          register_temp <= '0' & register_temp(3 downto 1);
        end if;
    	 
      end if;
    end process;
    Output_data <= register_temp(0);
    
    end Behavioral;
    Au niveau de simulation, il me un seul état de sortie qui reste la même valeur (soit 0 ou 1) à chaque front d'horloge
    Dernière modification par Antoane ; 03/06/2015 à 22h07. Motif: Ajout balises [code]

  4. #4
    jiherve

    Re : Générateur parallèle de 4 bits vers un bit série en VHDL

    bonsoir,
    Le code semble correct.
    et tu actives bien le chargement?
    Quel simulateur?
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    isamel85

    Re : Générateur parallèle de 4 bits vers un bit série en VHDL

    Le simulateure est ModelSim

  7. #6
    albanxiii
    Modérateur

    Re : Générateur parallèle de 4 bits vers un bit série en VHDL

    Bonjour,

    Et le testbench (ce que demandais jiherve) ?

    @+
    Not only is it not right, it's not even wrong!

  8. #7
    jiherve

    Re : Générateur parallèle de 4 bits vers un bit série en VHDL

    Bonjour,
    Chez moi cela fonctionne.
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Réponses: 1
    Dernier message: 10/04/2015, 03h14
  2. convertisseur série/paralléle avec machine d etat et vhdl
    Par kokosmtii dans le forum Électronique
    Réponses: 1
    Dernier message: 11/05/2013, 20h18
  3. VHDL : Conversion 16 bits vers 32 bits
    Par gregdrck5 dans le forum Électronique
    Réponses: 1
    Dernier message: 09/10/2011, 21h34
  4. Conversion parallèle série en 8 bits
    Par PeterMacGonagan dans le forum Électronique
    Réponses: 8
    Dernier message: 23/09/2009, 22h41
  5. Convertir 12 bits série en 7 bits paralléle avec un HC11 ?
    Par invite0ee134f7 dans le forum Électronique
    Réponses: 2
    Dernier message: 03/03/2005, 09h39
Découvrez nos comparatifs produits sur l'informatique et les technologies.