Bonjour bonjour,
J'aimerai avoir votre avis sur mon compteur décompteur modulo 6 que je ne peux pas tester actuellement.
Il comporte ripple carry qui s'active lorsque le compteur atteint sa valeur maximale, un signal en d'activation, une commande qui gère la comptage ou le décomptage et un reset asynchrone.
C'est un essai je n'ai étudié pour l'instant que des compteurs ou décompteurs seuls.Code:library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ComptDecompt is port(clk, rst, en, com : in std_logic; rc : out std_logic; s : out std_logic_vector(2 downto 0)); end entity ComptDecompt; architecture behavioral of ComptDecompt is signal q: unsigned(2 downto 0); begin s <= std_logic_vector(q); rc <= '1' when q=5 and en='1' else '0'; process(clk, rst) is begin if rst = '1' then q<=(others=>'0'); elsif rising_edge(clk) then if com='1' then q<=q+1; elsif q="101" and en ='1'then q<=(others =>'0'); if com='0' then q<=q-1; elsif q="000" then q<="101"; end if; end if; end if; end process; end architecture behavioral;
Merci pour votre temps et vos réponses.
-----