[Exercices] code source vhdl : création d'une horloge sur afficheur 7-segments
Répondre à la discussion
Affichage des résultats 1 à 11 sur 11

code source vhdl : création d'une horloge sur afficheur 7-segments



  1. #1
    kyk

    Red face code source vhdl : création d'une horloge sur afficheur 7-segments


    ------

    Bonjour tous le monde


    Svp pourriez vous m'aider à démarrer un petit code vhdl pour l'affichage de l'heure un afficheur 7 segment de la carte DE1 cyclone V avec quartus .


    merci d'avance.

    -----

  2. #2
    jiherve

    Re : code source vhdl

    bonjour,
    un template :
    Code:
    library ieee;
    use ieee.std_logic_1164.all; 
    use ieee.numeric_std.all;
    
    entity mapendule is
    	port(clk               : in std_logic;
                  rst               : in std_logic;
     	      nibble	         : out std_logic(5 downto 0); -- 6 afficheurs HH:MM:SS
    	      segment       : out std_logic_vector(6 downto 0)); --7 segments
    end entity mapendule ; 
    
    architecture rtl of mapendule  is
    signal secondes: integer range 0 to 59;
    signal minutes: integer range 0 to 59;
    signal heures: integer range 0 to 23;
    begin
       process(clk, rst) 
    	  begin
    	     if rst = '1' then
    		secondes <= 0;
    		minutes <= 0;
    	        heure <= 0;
         elsif rising_edge(clk) then
    	       secondes <= ......;
    		minutes <= .....;
    	        heure <= ....;
    	     end if;
    	  end process;
    end architecture rtl;
    au boulot maintenant.
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    kyk

    Re : code source vhdl

    Citation Envoyé par jiherve Voir le message
    bonjour,
    un template :
    Code:
    library ieee;
    use ieee.std_logic_1164.all; 
    use ieee.numeric_std.all;
    
    entity mapendule is
    	port(clk               : in std_logic;
                  rst               : in std_logic;
     	      nibble	         : out std_logic(5 downto 0); -- 6 afficheurs HH:MM:SS
    	      segment       : out std_logic_vector(6 downto 0)); --7 segments
    end entity mapendule ; 
    
    architecture rtl of mapendule  is
    signal secondes: integer range 0 to 59;
    signal minutes: integer range 0 to 59;
    signal heures: integer range 0 to 23;
    begin
       process(clk, rst) 
    	  begin
    	     if rst = '1' then
    		secondes <= 0;
    		minutes <= 0;
    	        heure <= 0;
         elsif rising_edge(clk) then
    	       secondes <= ......;
    		minutes <= .....;
    	        heure <= ....;
    	     end if;
    	  end process;
    end architecture rtl;
    au boulot maintenant.
    JR
    Bonjour ,
    merci pour votre réponse.
    par contre j'ai choisit de commencer par une petite application (port and )
    j'ai fait toutes les étapes (création de projet - schéma- assignment -compilation ....) mais lors de l’étape de simulation: programmer la carte de1-Soc j'ai eu un soucis .
    i m'affiche pas la carte

  4. #4
    jiherve

    Re : code source vhdl

    bonjour,
    je ne comprends pas la question.
    JR
    l'électronique c'est pas du vaudou!

  5. A voir en vidéo sur Futura
  6. #5
    kyk

    Re : code source vhdl

    Bonjour,
    je vous remercie pour vos réponse rapide,
    En fait j'ai résolu le probleme de matin mais j'ai essayer d’implémenter le programme de l'heure que vous m'avez donner mais c n'a pas marché . malgré que la carte est bien installé.

  7. #6
    AbA2L

    Re : code source vhdl : création d'une horloge sur afficheur 7-segments

    Salut voici ma perception d'une horloge electronique avec un µC:



    envoi la valeur tour par tour un seul afficheur a la fois, tres rapidement cela donneras l'impression que rien ne bouge
    Images attachées Images attachées  
    Nous ne nous approchons de la verité que dans la mesure oú nous nous éloignons de la vie

  8. #7
    jiherve

    Re : code source vhdl : création d'une horloge sur afficheur 7-segments

    Bonsoir,
    @ABA2L (à coté de la plaque) :belle horloge mais hors sujet ici c'est de FPGA et de VHDL dont il est question
    @KYK : le code c'est un template, un modèle , il faut s'en inspirer et le compléter,Je ne vais tout de même pas le faire à ta place!
    JR
    l'électronique c'est pas du vaudou!

  9. #8
    AbA2L

    Re : code source vhdl : création d'une horloge sur afficheur 7-segments

    Citation Envoyé par jiherve Voir le message
    @ABA2L (à coté de la plaque) :belle horloge mais hors sujet ici c'est de FPGA et de VHDL dont il est question
    Désolé, je n'avais pas lue le post en entier
    Nous ne nous approchons de la verité que dans la mesure oú nous nous éloignons de la vie

  10. #9
    albanxiii
    Modérateur

    Re : code source vhdl : création d'une horloge sur afficheur 7-segments

    C'était dans le titre quand même. Vous ne l'aviez pas lu non plus ?
    Not only is it not right, it's not even wrong!

  11. #10
    Antoane
    Responsable technique

    Re : code source vhdl : création d'une horloge sur afficheur 7-segments

    Bonjour,

    @AbA2L: Et tant qu'on y est : il serait bon d'ajouter des résistances de base pour les transistors (http://robert.cireddu.free.fr/SI/Cou...ransistors.pdf) et les résistance de protection des led (http://www.astuces-pratiques.fr/elec...sistance-serie). En l'état, c'est le pic qui limite le courant... C'est pas génial.
    Deux pattes c'est une diode, trois pattes c'est un transistor, quatre pattes c'est une vache.

  12. #11
    kyk

    Re : code source vhdl : création d'une horloge sur afficheur 7-segments

    Bonjour pour vos réponse j'ai réussi l'application enfin

Discussions similaires

  1. Création d'un afficheur 7 segments à partir de led
    Par lapartoch dans le forum Électronique
    Réponses: 52
    Dernier message: 06/02/2015, 16h17
  2. Réponses: 13
    Dernier message: 16/08/2012, 19h03
  3. Horloge afficheur 7 segments
    Par invite1f35c955 dans le forum Électronique
    Réponses: 2
    Dernier message: 18/04/2012, 22h43
  4. Ou je dois mettre mon code source vhdl dans le projet xmp?
    Par invite0d5fe536 dans le forum Électronique
    Réponses: 0
    Dernier message: 20/04/2008, 00h18
  5. code source en vhdl [déplacé]
    Par invite4add737e dans le forum Électronique
    Réponses: 2
    Dernier message: 20/11/2006, 14h09
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...