[Numérique] Signal carré 1Hz ?
Répondre à la discussion
Affichage des résultats 1 à 16 sur 16

Signal carré 1Hz ?



  1. #1
    lgjean

    Signal carré 1Hz ?


    ------

    Bonjour

    Je cherche un montage pour générer un bête signal carré de 0,5Hz à quelques Hz en 5v en utilisant des composants courant, genre 555 ou portes logique.
    Je trouve plein de montage mais pour des fréquences bien plus élevées !

    Merci !

    -----

  2. #2
    DAUDET78

    Re : Signal carré 1Hz ?

    Le NE555 est parfait pour ça ! Tu peux aussi regardé du coté du 4060 si tu peux un signal carré à 50,0000000%
    Pour le NE555, tu as des milliers de schéma sur le WEB !

    Le mien :

    Nom : NE555_50-50.JPG
Affichages : 458
Taille : 25,1 Ko
    Dernière modification par DAUDET78 ; 23/11/2017 à 18h09.
    J'aime pas le Grec

  3. #3
    penthode

    Re : Signal carré 1Hz ?

    hello , pas évident à une fréquence aussi basse.

    les condensateurs de base de temps deviennent souvent obèses

    mieux vaut partir d'une fréquence plus élevée et suivre par un diviseur

    le CD 4060 se charge de tout (pour pas cher)
    [b]le bon sens est un fardeau, car il faut s'entendre avec ceux qui ne l'ont pas [/b]

  4. #4
    lgjean

    Re : Signal carré 1Hz ?

    Citation Envoyé par penthode Voir le message
    hello , pas évident à une fréquence aussi basse.

    les condensateurs de base de temps deviennent souvent obèses

    mieux vaut partir d'une fréquence plus élevée et suivre par un diviseur

    le CD 4060 se charge de tout (pour pas cher)
    Ah ben ça explique pourquoi je n'ai rien trouvé alors !

  5. A voir en vidéo sur Futura
  6. #5
    jiherve

    Re : Signal carré 1Hz ?

    Bonsoir
    les condensateurs de base de temps deviennent souvent obèses
    Enfin qqs µF/16V ne nécessitent pas une brouette pour les déplacer!
    JR
    l'électronique c'est pas du vaudou!

  7. #6
    penthode

    Re : Signal carré 1Hz ?

    mais bonjour la précision !
    [b]le bon sens est un fardeau, car il faut s'entendre avec ceux qui ne l'ont pas [/b]

  8. #7
    PA5CAL

    Re : Signal carré 1Hz ?

    Bonjour

    Pour éviter les inconvénients des grosses capas, à une époque lointaine on utilisait des diviseurs de fréquence sous la forme d'oscillateurs RC à relaxation synchronisés, dans lesquels la capa était chargée par des impulsions générées par un oscillateur plus rapide. Par exemple, avec des impulsions de rapport cyclique 1/20, on pouvait diviser par 20 la valeur de la capa nécessaire, ce qui autorisait d'utiliser des capas présentant de meilleures performances.

    Maintenant, on règle le problème plus simplement avec des diviseurs à bascules (--> 4060).

  9. #8
    Seb.26

    Re : Signal carré 1Hz ?

    je vais me faire huer mais un bête ATtiny85 fait ça avec son oscillateur interne en 3 lignes de code ... un seul composant externe (une capa sur l'alim) ... pas de vieillissement ... valeur facilement changeable ... coût dérisoire ...

    ... ok, ok, je sors ...
    << L'histoire nous apprend que l'on apprend rien de l'histoire. >>

  10. #9
    PA5CAL

    Re : Signal carré 1Hz ?

    Pour une solution à microcontrôleur, un PIC10C200 ou un ATtiny10 à six pattes suffiraient amplement. Et dans les deux cas on peut envisager un réglage de la fréquence par potentiomètre ou par boutons +/-, ou autre.
    Dernière modification par PA5CAL ; 28/11/2017 à 19h19.

  11. #10
    abracadabra75

    Re : Signal carré 1Hz ?

    Bonjour.

    Comme on ne sait rien ni du contexte d'emploi ni du niveau matériel et connaissances de lgjean, répondre valablement tient du hasard....
    S'il est 'moderne', a-t-il de quoi programmer un Atxxx ou POCzzz?
    S'il fait 'grand-père', les solutions comme le 4060 ou s'il veut de la précision (veut-il faire une horloge?) un oscillateur à quartz suivi de diviseurs, peuvent faire l'affaire.
    Un µp est d'un coût dérisoire, sauf qu'il lui faut un environnement ad-hoc pour pouvoir être mis en oeuvre, et lui n'est pas d'un coût nul... Sait-il programmer?

    a+
    Dernière modification par abracadabra75 ; 29/11/2017 à 09h19.
    Il n'y a que dans le dictionnaire où 'réussite' vient avant 'travail'.

  12. #11
    DAUDET78

    Re : Signal carré 1Hz ?

    Y a plus qu'à attendre la réponse de notre ami lgjean pour savoir si il veut utiliser un CD4060 ou un µC .......
    J'aime pas le Grec

  13. #12
    PA5CAL

    Re : Signal carré 1Hz ?

    lgjean a indiqué dès le départ qu'il souhaitait utiliser des circuits classique, ce que j'interprète a priori comme « pas de circuit spécialisé rare ni de circuit programmable », micro-contrôleur inclus. Ma remarque était une réponse à Seb.26 concernant le choix de ce type de solution, étant entendu que par ailleurs elle ne devrait pas intéresser lgjean, a priori.


    Sinon, les prix des environnements matériels et logiciels nécessaires à la programmation de quelques micro-contrôleurs de premier plan ont beaucoup baissé (compter moins de 20€ pour programmer un AVR 8 bits).

    La question de la programmation proprement dite peut souvent être réglée par le biais du partage open-source, des forums d'entraide et des bibliothèques proposées par les projets éducatifs ou DIY (de type Arduino ou autre), en seulement quelques jours pour un complet débutant. Un minimum d'investissement personnel est donc requis, mais il est très faible comparé à ce qui était nécessaire il y a une quinzaine d'années.

    Aujourd'hui, même si un investissement matériel et personnel est requis pour mettre en œuvre ce type de solution, il est dérisoire si l'on compte y avoir recours souvent.

    Après, ce choix n'est pas non plus sans inconvénients susceptibles d'aller à l'encontre des besoins et des contraintes des projets à réaliser.

  14. #13
    mag1

    Re : Signal carré 1Hz ?

    Bonjour,

    Allez, à tout hasard, une solution picaxe:

    Nom : 1Hz.JPG
Affichages : 284
Taille : 26,4 Ko

    Le code:

    Code:
    ; générateur 0,5 à 5 Hz
    ;MM 29/11/2017
    #picaxe 08M2
    #no_data
    symbol potar= C.4
    symbol tempo= w13
    	do	
    		readadc10 potar,tempo	;0 à 1023
    		tempo= tempo + 100 ; de 100 à 1123 ms ->T de 200ms à 2246 ms -> F=0,44 à 5 Hz
    		pause tempo 
    		toggle C.2
    	loop
    Rappel: L'investissement pour fabriquer le cordon de programmation est de moins de 1,5 euro
    http://electromag1.wifeo.com/cordon-...ation-usb-.php

    MM
    Si il y a des erreurs ci dessus, c'est que je n'ai pas eu le temps de les corriger...

  15. #14
    Seb.26

    Re : Signal carré 1Hz ?

    Citation Envoyé par PA5CAL Voir le message
    Pour une solution à microcontrôleur, un PIC10C200 ou un ATtiny10 à six pattes suffiraient amplement. Et dans les deux cas on peut envisager un réglage de la fréquence par potentiomètre ou par boutons +/-, ou autre.
    oui mais plus galère à programmer : pas de SPI donc faut un programmateur TPI ... avec le 85 un programmateur à 1€ sur eBay suffit ... le 85 est compatible Arduino ... et vu l’écart de prix entre les 2.
    << L'histoire nous apprend que l'on apprend rien de l'histoire. >>

  16. #15
    Seb.26

    Re : Signal carré 1Hz ?

    Citation Envoyé par abracadabra75 Voir le message
    Un µp est d'un coût dérisoire, sauf qu'il lui faut un environnement ad-hoc pour pouvoir être mis en oeuvre, et lui n'est pas d'un coût nul... Sait-il programmer?
    Bah si, tout est gratuit chez At*** et chez M*** ...

    1xTiny85 DIP + 1xProgrammateur = 4€ ...

    Mais comme l'a rappeler PA5CAL, la demande excluait à priori les uCPU, donc on va pas "polluer" plus longtemps le post sur ce sujet, il demandera si besoin.
    << L'histoire nous apprend que l'on apprend rien de l'histoire. >>

  17. #16
    jiherve

    Re : Signal carré 1Hz ?

    Bonsoir,
    Bah si, tout est gratuit chez At*** et chez M*** ...
    c'est maintenant la même crémerie!
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Comment transformer un signal sinusoïdal a un signal carré
    Par hakimelt dans le forum Électronique
    Réponses: 8
    Dernier message: 30/01/2014, 10h17
  2. Transformer un signal triangulaire en signal carré
    Par invite0374bd4b dans le forum Électronique
    Réponses: 5
    Dernier message: 20/01/2011, 18h06
  3. Générer un signal triangle à partir d'un signal carré : problème
    Par invite4450428a dans le forum Électronique
    Réponses: 8
    Dernier message: 03/01/2010, 23h59
  4. transformer un signal carré en signal continue
    Par invite2377531f dans le forum Électronique
    Réponses: 11
    Dernier message: 19/05/2008, 09h30
  5. Signal continue vers signal sinusoidale carré
    Par invite0cebd2e1 dans le forum Électronique
    Réponses: 9
    Dernier message: 11/05/2006, 17h01
Découvrez nos comparatifs produits sur l'informatique et les technologies.