oscillateur analogique et numérique
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

oscillateur analogique et numérique



  1. #1
    invite98375413

    oscillateur analogique et numérique


    ------

    Bonjour,
    Dans un tp de concours un examinateur a demandé si un oscillateur numérique était plus précis qu'un analogique. Je sais que l'oscillateur numérique fait un échantillonage à partir des courbes obtenus pour l'oscillateur analogique, mais je n'arrive pas à comprendre si cela signifie qu'il est moins précis ou plus précis.
    Merci de me répondre

    -----

  2. #2
    LPFR

    Re : oscillateur analogique et numérique

    Bonjour.
    Je ne vois pas ce que l'énoncé entend par oscillateur numérique (il peut avoir plusieurs interprétations).
    S'il veut dire "synthétiseur de fréquence", il sera aussi précis que le quartz qui lui sert de référence.
    Je ne sais pas non plus ce qu'il entend par oscillateur analogique. Cela peut aller d'un oscillateur RC, jusqu'à un oscillateur à quartz.
    Bref, la question est vaseuse.
    Au revoir.

  3. #3
    invite98375413

    Re : oscillateur analogique et numérique

    pardonnez moi, je voulais dire "oscilloscope" à la place d'"oscillateur"
    j'espère que tout le monde comprendra mieux ma question

  4. #4
    LPFR

    Re : oscillateur analogique et numérique

    Re.
    Oui. Ça devient moins idiot comme question.
    À la simple lecture sur l'écran, les deux sont très imprécis. L'épaisseur de la trace est important comparée à l'écran.
    Avec un oscilloscope analogique on ne put pas aller plus loin. Mais les oscilloscopes numériques, ont des numériseurs plus précis et permettent d'afficher les valeurs avec plusieurs chiffres significatifs. En ça, ils se comportent comme des voltmètres ou fréquencemètres numériques.
    A+

  5. A voir en vidéo sur Futura

Discussions similaires

  1. Analogique et numérique
    Par invite6d04eabb dans le forum Physique
    Réponses: 1
    Dernier message: 04/04/2008, 10h16
  2. numerique analogique
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 6
    Dernier message: 07/05/2007, 12h46
  3. analogique ou numérique?
    Par invitee73bc64e dans le forum Matériel - Hardware
    Réponses: 4
    Dernier message: 23/06/2006, 14h59
  4. Numérique Ou Analogique?
    Par invitee73bc64e dans le forum Dépannage
    Réponses: 1
    Dernier message: 20/06/2006, 20h25
  5. analogique --> numerique
    Par invite2d9e7c03 dans le forum Électronique
    Réponses: 3
    Dernier message: 15/04/2006, 14h38