langage vhdl
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

langage vhdl



  1. #1
    invite21ed25dc

    langage vhdl


    ------

    Bonjour,
    Il s’agit d’une bascule qui possède 2 entrées synchrones A et B, la clock, et 2 entrées asynchrones Set et Reset. Q1 et Q2 sont les sorties. La table de vérité de cette bascule est dans la pièce jointe.

    H front montant
    L front descendant

    Je voudrais écrire cela en vhdl, j'ai pu écrire l'entity mais je ne sais pas écrire l'architecture de cette bascule, est-ce que quelqu'uun qui s'y connaît en vhdl pourrait m'écrire ce progamme, svp.
    Merci.

    -----
    Images attachées Images attachées  

  2. #2
    jiherve

    Re : langage vhdl

    bonjour
    il faut 2 process 1 pour q1 et un pour q2 c'est plus clean
    process_q1 : process(clk,set,reset)
    begin
    if set = '1' then
    q1 <= '1';
    elsif reset = '1' then
    q1<= '0';
    elsif falling_edge(clk) then
    q1 <= a;
    end if;
    end process process_q1;


    process_q2 : process(clk,set,reset)
    begin
    if set = '1' then
    q2 <= '1';
    elsif reset = '1' then
    q2<= '0';
    elsif rising_edge(clk) then
    q2 <= b;
    end if;
    end process process_q2;

    that 's it!
    JR

Discussions similaires

  1. vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 12
    Dernier message: 09/12/2007, 14h29
  2. langage VHDL
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 2
    Dernier message: 04/06/2007, 23h31
  3. vhdl
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 5
    Dernier message: 29/03/2007, 19h52
  4. vhdl
    Par invite4add737e dans le forum Électronique
    Réponses: 0
    Dernier message: 16/11/2006, 23h41
Découvrez nos comparatifs produits sur l'informatique et les technologies.