I/O differentielle FPGA
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

I/O differentielle FPGA



  1. #1
    invite232dbe64

    I/O differentielle FPGA


    ------

    Bonjour,

    Peut-on m'expliquer à quoi sert une I/O differentielle dans un FPGA?

    Merci

    -----

  2. #2
    purge31

    Re : I/O differentielle FPGA

    Salut,

    Tu parles d'un mode de transmission différentielle?
    Si oui, tout simplement pour la qualité de la transmission :
    A la recombinaison du signal, les interférences captées sur les deux "canaux" s'annuleront car on fera (V1-V2)/2.
    Avec V1 la tension postive et V2 la negative.

    Si besoin (vu que je ne dois pas etre tres clair), je peux faire un mini schéma pour illustrer mais propos.

    A+

  3. #3
    invite232dbe64

    Re : I/O differentielle FPGA

    Oui en effet c'était bien çà, merci encore!

Discussions similaires

  1. Fpga
    Par maxredphenix dans le forum Électronique
    Réponses: 11
    Dernier message: 15/10/2008, 11h23
  2. Fpga
    Par invite57f3f2ba dans le forum Électronique
    Réponses: 0
    Dernier message: 29/03/2007, 13h49
  3. Fpga
    Par invite48fedcb2 dans le forum Électronique
    Réponses: 8
    Dernier message: 29/03/2007, 11h54
  4. Fpga
    Par inviteec57f3a5 dans le forum Électronique
    Réponses: 2
    Dernier message: 10/03/2007, 14h37
  5. FPGA
    Par invite9bb6dee7 dans le forum Électronique
    Réponses: 3
    Dernier message: 28/10/2003, 21h43
Découvrez nos comparatifs produits sur l'informatique et les technologies.