Idées de projet vhdl
Répondre à la discussion
Affichage des résultats 1 à 18 sur 18

Idées de projet vhdl



  1. #1
    invite331e4ee5

    Idées de projet vhdl


    ------

    bonjour,

    je suis débutant en vhdl et je dois réaliser un projet vhdl...
    Auriez vous des idées de projet à me faire parvenir?

    Merci d'avance

    -----

  2. #2
    jiherve

    Re : Idées de projet vhdl

    Bonsoir
    de complexité moyenne mais tout de même démonstratif un UART 110BDS/115200 BDS
    environ 250 lignes!
    JR

  3. #3
    inviteacb3e291

    Re : Idées de projet vhdl

    Bonjour,

    j'ai trouve cette note d'appli. si ca peut t'aider
    http://www.alse-fr.com/English/UARTS.pdf

  4. #4
    boulbidor

    Cool Re : Idées de projet vhdl

    Desolé si le sujet est déjà un peu vieux mais j'ai besoin d'idée pour mon projet en VHDL.
    Alors si quelqu'un à quelque chose d'interressant alors n'hésité pas. Merci d'avance....
    Cordialement

  5. A voir en vidéo sur Futura
  6. #5
    invited76c3d88

    Re : Idées de projet vhdl

    réalisation d'une mémoire fifo ou LiFO (gestion des flags et tout)...
    Un chrnomètre, un diviseur de fréquence, un compteur...

  7. #6
    invited76c3d88

    Re : Idées de projet vhdl

    ou tu veux plus difficile?

  8. #7
    boulbidor

    Smile Re : Idées de projet vhdl

    oui je veux plus difficil.
    j'ai même déjà fais un diviseur de fréquence variable avec le générique et tout.
    Donc si t'as plus difficil alors je le prends !

  9. #8
    invited76c3d88

    Re : Idées de projet vhdl

    II– Réalisation du MODULE A

    1. Diviseur :
    Ce sous module assure la division de l’horloge HOR à 48 MHz afin d’obtenir un signal de fréquence 10 kHz permettant de cadencer l’envoi de chaque bit.

    2. Compteur Front Montant/Front Descendant :
    Grâce à ce sous module, on compte les différents fronts montant et descendant de l’horloge 10 KHz afin de pouvoir positionner la sortie clk au repos (clk = 1) ou active (horloge 10 kHz).
    Dans notre cas, la sortie clk se positionne au repos (niveau logique 1) lorsque 8 fronts montant et descendant auront été comptés (correspondant à l’envoi de 8 bits). La sortie clk sera à nouveau active si le signal raz est actif (envoi d’une nouvelle donnée).

    3. Sérialisation :
    Ici, ce module effectue la sérialisation de la donnée 8 bits présente sur donnée, cette donnée est sérialisée grâce au cadencement 10kHz (clk) du sous module sérialisation.
    Pour se faire on va procéder par décalage du bus donnée. C'est-à-dire que le bit 0 va être envoyé, ensuite on décale les bits 8 à droite et nous répétons cela 7 fois. On aura, au total, envoyé nos 8 bits de commande un à un.


    MODULE B :

    Le module B nous permettra de réceptionner la donnée série émise par le module A puis de l’envoyer à l'afficheur lcd.
    Le module permet simplement la désérialisation des données reçus par le Module A nommée data et qui a été envoyé grâce à l’horloge clk.


    amuse toi et si tu veux des trucs plus compliqués dit le moi jt'ai envoyé un petit schéma...
    Images attachées Images attachées  

  10. #9
    jiherve

    Re : Idées de projet vhdl

    Bonsoir,
    Tu peux tenter un contrôleur SDRAM et si cela ne te suffit pas un contrôleur DDR!
    Pourquoi pas un contrôleur NAND flash ou un south bridge,voire un mac Ethernet!
    Bon courage!
    JR
    l'électronique c'est pas du vaudou!

  11. #10
    boulbidor

    Question Re : Idées de projet vhdl

    Merci pour toutes vos suggestions.
    Dite moi, est-ce que je peux faire une petite calculatrice scientifique sur le VHDL ?

  12. #11
    invite3bf40127

    Re : Idées de projet vhdl

    Et bien j'ai une idée, c'est de réaliser un decodeur HDB3 polyvalent par exemple.

  13. #12
    jiherve

    Re : Idées de projet vhdl

    Bonsoir,
    Pour les opérations +,-,X c'est trivial avec les FPGA modernes, souvent on a aussi la macro de division.
    Cette dernière opération est un bon exercice de codage, voir Newton Raphson, ou bien division euclidienne.
    http://fr.wikipedia.org/wiki/Division_euclidienne
    Pour les fonctions transcendantes il y a des algorithmes usuels: CORDIC par exemple
    http://fr.wikipedia.org/wiki/CORDIC
    Basiquement la version la plus simple cela ne demande qu'un additioneur série, quelques portes, compteurs et registres à décalage, c'est le premier type de calculateur que j'ai eu à mettre au point en 1973, full TTL logic!
    Il existe d'autres méthodes utilisant des approximation polynomiales.
    JR
    l'électronique c'est pas du vaudou!

  14. #13
    boulbidor

    Cool Re : Idées de projet vhdl

    C'est vraiment très intéressante, donc je vais me mettre sur ce projet.
    Bon voilà; l'idée général, c'est de codé en "vhdl" une calculatrice de poche offrant toute (ou presque toute) les fonctionnalités proposé par les calculettes se trouvant sur le marché (y compris la gestion de l'affichage et le convertisseur analogique numérique pour les touches).
    Donc si quelqu'un à des idées à partager n'hésite pas.
    Je vous tiens tiendrai au courant de l'évolution.

  15. #14
    jiherve

    Re : Idées de projet vhdl

    Bonsoir,
    Il n'y a pas de CAN pour les touches mais un encodage matriciel.
    JR
    l'électronique c'est pas du vaudou!

  16. #15
    boulbidor

    Re : Idées de projet vhdl

    Oui je c'est ce que je voulais dire (c'était un abus de langage).
    je réfléchi sur la gestion de la mémoire.
    En fait je penses utilisé le binaire coder en décimal pour l'acquisition des entrées tapés sur la touche.

  17. #16
    boulbidor

    Question Re : Idées de projet vhdl

    Est ce que quelqu'un sait comment faire un pointeur en VHDL?
    Je m'explique, en fais j'ai créer une matrice d'éléments qui représente ma mémoire.
    Maintenant je veux pouvoir accéder à des zones mémoires spécifier en utilisant juste une variable. Un peu comme les pointeurs en C.


    Cordialement

  18. #17
    amyamuo

    Re : Idées de projet vhdl

    salut svp vous avez le corrige de cet exercice

  19. #18
    jiherve

    Re : Idées de projet vhdl

    bonsoir
    dernière activité le 28 octobre 2009, nous avons changé deux fois de président depuis!
    JR
    l'électronique c'est pas du vaudou!

Discussions similaires

  1. Idées projet à microcontrôleur
    Par invite2562e666 dans le forum Électronique
    Réponses: 3
    Dernier message: 15/10/2007, 11h00
  2. projet en VHDL
    Par invite62e19451 dans le forum Électronique
    Réponses: 7
    Dernier message: 30/06/2007, 10h34
  3. idées pour monter un projet collectif
    Par boudasimboudette dans le forum Habitat bioclimatique, isolation et chauffage
    Réponses: 1
    Dernier message: 18/02/2007, 10h15
  4. projet VHDL
    Par invite5497a1e2 dans le forum Électronique
    Réponses: 2
    Dernier message: 23/04/2006, 08h56
Découvrez nos comparatifs produits sur l'informatique et les technologies.