programme
Répondre à la discussion
Affichage des résultats 1 à 4 sur 4

programme



  1. #1
    invite21ed25dc

    programme


    ------

    bonjour,
    quand je compile ce programme, il y a beaucoup d'erreurs, est-ce que quelqu'un pourrait me corriger ce programme ?
    il s'agit d'un composant avec 2 entrées de E et F et une sortie S.


    architecture h of ent is
    begin
    if (E="1000") then
    if (F="1000") then
    S<="0001";
    elsif F="0100" then
    S<="0010";
    elsif F="0010" then
    S<="0010";
    else
    s<="1111";
    end if;

    elsif E="0100" then
    if F="1000" then
    S<="0100";
    elsif F="0100" then
    S<="0101";
    elsif F="0010" then
    S<="0110";
    else
    S<="1110";
    end if;

    elsif E="0010" then
    if F="1000" then
    S<="0111";
    elsif F="0100" then
    S<="1000";
    elsif F="0010" then
    S<="1001";
    else
    S<="1101";
    end if;

    else

    if F="1000" then
    S<="1010";
    elsif F="0100" then
    S<="0000";
    elsif F="0010" then
    S<="1011";
    else
    S<="1100";
    end if;

    end if;
    end h;


    merci.

    -----

  2. #2
    freepicbasic

    Re : programme

    Quel compilateur quel processeur ?

    C'est sensé faire quoi ?
    S<="0001";
    Tel que c'est écrit, ça n'a pas de sens...

    une comparaison ne peut pas être attribué dans une chaine.
    A la rigueur le résultat booléen peut être dans un entier.
    A+, pat

  3. #3
    invite21ed25dc

    Re : programme

    il s'agit d'un composant avec 2 entrées de E et F et une sortie S.
    est-ce qu'on peut imbriquer les if en vhdl ?
    S<="1000" signifie qu'en sortie, j'aurai 1000 (mot de 4 bits).

  4. #4
    freepicbasic

    Re : programme

    ah ok pour le VHDL !
    Je croyais que c'était un genre de basic...


    Je ne connais pas trop.
    Ce que j'ai vu ,
    pas de process dans ton code ,
    et les else devrait être des elseif


    je me suis inspiré de ce document pour dire ça
    http://www.ensta.fr/~tbernard/Ens/ES102/PDF/cm8.pdf
    A+, pat

  5. A voir en vidéo sur Futura

Discussions similaires

  1. Programme TI-89
    Par invite693d963c dans le forum Logiciel - Software - Open Source
    Réponses: 17
    Dernier message: 25/10/2007, 17h49
  2. Programme C
    Par invite1a90427b dans le forum Électronique
    Réponses: 3
    Dernier message: 21/03/2007, 21h02
  3. Programme en VB
    Par invite47810f95 dans le forum Logiciel - Software - Open Source
    Réponses: 6
    Dernier message: 16/03/2007, 16h24
  4. [Brun] Programme TV
    Par invite8f28c2f6 dans le forum Dépannage
    Réponses: 0
    Dernier message: 12/01/2007, 18h52
  5. programme
    Par invite7e1f35e9 dans le forum Logiciel - Software - Open Source
    Réponses: 1
    Dernier message: 26/04/2006, 13h13
Découvrez nos comparatifs produits sur l'informatique et les technologies.