vhdl
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

vhdl



  1. #1
    invite6fc91cc2

    vhdl


    ------

    bonjour,
    je voudrais réaliser en vhdl un registre de type SIPO 16 bits à partir de 16 bascules D.
    le component est
    component bD is
    port(


    d : in std_logic;
    q : out std_logic

    );

    end component;


    entity sipo is
    port(
    d, clk : in std_logic;
    q: out std_logic
    );
    end sipo;

    comment peut-on écrire l'architecture, svp ?
    merci.

    -----

  2. #2
    invite6fc91cc2

    Re : vhdl

    je crois que chaque entrée est reliée à D de chaque bascule, mais comment l'écrire en vhdl ?

Discussions similaires

  1. vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 12
    Dernier message: 09/12/2007, 14h29
  2. vhdl
    Par pol5700 dans le forum Électronique
    Réponses: 117
    Dernier message: 29/03/2007, 23h29
  3. vhdl
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 5
    Dernier message: 29/03/2007, 19h52
  4. vhdl
    Par invite4add737e dans le forum Électronique
    Réponses: 0
    Dernier message: 16/11/2006, 23h41
Découvrez nos comparatifs produits sur l'informatique et les technologies.