Problèmes VHDL
Répondre à la discussion
Affichage des résultats 1 à 2 sur 2

Problèmes VHDL



  1. #1
    invitebe6c2732

    Problèmes VHDL


    ------

    J'ai un PB en VHDL, j'ai une carte dont l'orloge principale est à 100Mhz, et je balade ma clock (100Mhz) dans tout les blocs de mon projet... du coup j'ai un criticale Warning: 60ns de décalage entre les clocks des différents blocs... et quand je test sur ma carte, j'ai par moment des glitches qui semblent être du à ce décalage...

    Je comprend pas, car chaque bloc fonctionne de façon indépendante???

    vous avez un truc à me proposer???

    -----

  2. #2
    Jack
    Modérateur

    Re : Problèmes VHDL

    Ce n'est pas parce que tu n'as pas eu de réponse hier qu'il faut reposter le même message.

    Je te rappelle que c'est interdit par la charte que tu as lue et validée:

    "9. Les doublons ne sont pas autorisés. Merci de ne pas poster le même sujet dans plusieurs rubriques pour éviter l'éparpillement des discussions."

Discussions similaires

  1. vhdl
    Par invite6fc91cc2 dans le forum Électronique
    Réponses: 1
    Dernier message: 03/01/2008, 23h52
  2. vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 12
    Dernier message: 09/12/2007, 14h29
  3. vhdl
    Par invitedcb8d9bb dans le forum Électronique
    Réponses: 5
    Dernier message: 29/03/2007, 19h52
  4. vhdl
    Par invite4add737e dans le forum Électronique
    Réponses: 0
    Dernier message: 16/11/2006, 23h41
Dans la rubrique Tech de Futura, découvrez nos comparatifs produits sur l'informatique et les technologies : imprimantes laser couleur, casques audio, chaises gamer...