bonjour,
j'ai ecris ce petit programme :
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity test is
port (
EN : out std_logic );
end test;
architecture toto of test is
begin
process
begin
EN <= '0';
wait for 10 ns;
EN <= '1';
wait for 10 ns;
EN <= '0';
wait;
end process;
end toto;
et dans la compilation il me donne ce message :
Error (10533): VHDL Wait Statement error at test.vhd(19): Wait Statement must contain condition clause with UNTIL keyword
vous m'aider à résoudre ce probléme.
-----