Vhdl
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

Vhdl



  1. #1
    invite68aa31e7

    Vhdl


    ------

    Bonjour,

    je dois coder un programme en vhdl mais je ne suis pas super doué. J'ai une idee de comment faire mais c'est plus au niveau de la syntaxe et de ce que je dois mettre dans le code que j'ai des problèmes.
    Mon travail est le suivant:

    Je dois relier 2 composants : l'un ayant 32 bits en sortie + des bits de controle
    l'autre ayant 16 bits en entrée
    A la sortie du premier composant je voudrais stocker les résultats dans une mémoire de 5* 16 bits ( 4 * 16 bits pour les données -st 2 messages- et 1* 16 bits pour les bits de contrôle. -des 2 messages-... je fais 5*16 bits plutot que 3*16 bits car il n'y a que 2 ou 3 bits de contrôle par message de 32 bits donc ainsi j'optimise un peu plus l'espace mémoire) et envoyer ces 5 paquets à l'entree du second composant mais je ne sais pas comment m'y prendre pour écrire ce code. Quelqu'un aurait -il une piste pour m'aider?

    -----

  2. #2
    jiherve

    Re : Vhdl

    Bonsoir,
    donne donc ce que tu as déjà ecrit.
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    invite19f369ec

    Re : Vhdl

    pas tout compris mais peut-etre que ca pourrait t'aider:
    entree <= sortie(32 downto 16); -- par exemple
    tab(choix ) <= entree;
    le tout dans un process (rst, clock) si tu veux le synthetiser

Discussions similaires

  1. Vhdl when others
    Par invitef1539ac2 dans le forum Électronique
    Réponses: 1
    Dernier message: 13/05/2009, 20h46
  2. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  3. vhdl
    Par invite165c4689 dans le forum Électronique
    Réponses: 4
    Dernier message: 16/05/2008, 15h04
  4. vhdl
    Par invite21ed25dc dans le forum Électronique
    Réponses: 12
    Dernier message: 09/12/2007, 14h29
  5. vhdl
    Par invite4add737e dans le forum Électronique
    Réponses: 0
    Dernier message: 16/11/2006, 23h41
Découvrez nos comparatifs produits sur l'informatique et les technologies.