simulation VHDL
Répondre à la discussion
Affichage des résultats 1 à 3 sur 3

simulation VHDL



  1. #1
    invitecbaaa007

    Question simulation VHDL


    ------

    A quel endroit dois-je écrire mon testbench ?
    En écrivant un autre programme dans un autre fichier ?
    Dans Xilink ?
    Ou bien dans ModelSim ?
    Urgent , merci .

    -----

  2. #2
    jiherve

    Re : simulation VHDL

    bonjour,
    dans Modelsim of course!
    JR
    l'électronique c'est pas du vaudou!

  3. #3
    invitecbaaa007

    Re : simulation VHDL

    Merci A bientot !

Discussions similaires

  1. Simulation d'ascenseur en VHDL
    Par invitef848a2af dans le forum Électronique
    Réponses: 2
    Dernier message: 20/12/2010, 07h15
  2. Point flottant en VHDL et vhdl-200x
    Par invite6eee6b27 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 02/09/2008, 19h47
  3. Quartus II simulation Vhdl
    Par invitef9e6be50 dans le forum Électronique
    Réponses: 1
    Dernier message: 27/03/2008, 20h48
  4. simulation d'un programme VHDL
    Par invite3e0ef868 dans le forum Logiciel - Software - Open Source
    Réponses: 0
    Dernier message: 28/10/2007, 13h47
  5. Simulation code vhdl
    Par invite232dbe64 dans le forum Électronique
    Réponses: 4
    Dernier message: 30/11/2006, 09h35
Découvrez nos comparatifs produits sur l'informatique et les technologies.