bonjour,
je voudrais simuler un projet en VHDL avec (modelsim PE student).
Après l'avoir compiler quand je charge le design , il apparait à la fin de la simulation le message:# Error loading design.
Quelqu 'un pourrait il m'aider.
Je vous remercie d'avance.